Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10328|回復: 6
打印 上一主題 下一主題

DFT 使用工具調查

[複製鏈接]
跳轉到指定樓層
1#
發表於 2006-8-14 09:57:15 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
為瞭解大家都使用那家公司的工具來做DFT??
1 y" M" Z5 W5 ]" T8 Y8 a) M% y7 l# H, V! e0 ~0 o
聽說有人可能用 MBIST 和 BSD?還是比較流行用dc ,但 dc 只是插入scan chain,那 test pattern 用什麼工具呢?TetraMax可以產生test pattern?還是你可能是 Synopsys 的 DFTC、或者 CadenceTetraMAX 兩個都用?
單選投票, 共有 43 人參與投票
27.91% (12)
6.98% (3)
51.16% (22)
13.95% (6)
您所在的用戶組沒有投票權限
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2006-10-3 10:48:49 | 只看該作者
DFT 包含很廣, 你指的應該是一般常用的 scan chain insertion 及 atpg tool 產品吧?* c3 ~* W! w/ B, R% i
我們公司現在用的還是國產的老字號 Syntest tool(TurboScan).
/ V" y) |- u/ T( S& r+ @9 T  j% W7 R+ `) ^+ s
Synopsys : DFTCompiler + TetraMAX
9 M9 `! v4 L' T7 t6 kMentor : DFT Advisor + FastScan
* @3 i: n& h/ H* c# q5 l1 N9 e5 rCadence : ?
8 j1 Q  A0 F3 t7 Z& Y$ nSyntest : TurboScan(VirtualScan)' h7 ^8 X# ~/ @
/ t  ]7 p& a. ^, |
另外 MBIST & BSD 應該不是 tool,$ j3 l' T. o0 o  P) U9 }# N! r
MBIST : Memory BIST
5 S3 {' k. v  I  ]BSD : Boundry Scan

評分

參與人數 1感謝 +3 收起 理由
chip123 + 3 熱心回覆

查看全部評分

回復

使用道具 舉報

3#
發表於 2007-6-14 11:49:45 | 只看該作者
I am a new guy in EDA design area. I want to implement the bsd scan for my design by bsd compiler of synopsys,but I* X& l' @6 t$ c/ I% Q: z
encountered some difficulty, It made me boring.who can explain the bsd flow in detail.
回復

使用道具 舉報

4#
發表於 2007-6-15 09:57:53 | 只看該作者
What's the equivalent gate-counts of your design?
回復

使用道具 舉報

5#
發表於 2008-2-25 13:20:09 | 只看該作者
Cadence ==> RTL Compiler + Encounter Test (acquired from IBM) - |! N# e1 l' v8 T0 M' o
5 C4 h8 t: X' s& k+ I1 |8 T* ~
special capability:9 Q1 t0 |$ F8 C& l) J1 J
Synopsys : support multiple clock domain, phase shift test clock5 m; e$ }. J, C, A5 }. c8 F
Mentor   : highest compression6 ~% U  g+ g- K, f4 a0 Y0 k
Cadence  : low power handling, pattern fault, diagnostics+ X- q2 D% d8 T9 y# {$ ]6 {5 E
8 K; V2 ~- }7 \$ w9 K* ?& J1 u" a
Common new features:! |% l. ~+ e+ y3 t+ m4 h0 ?6 ~! j
Compression, at-speed ATPG, core wrapper, BIST, IEEE1149.1
- q& I9 ]5 {( W; t5 N+ \+ b: a: h& @: ~8 h3 q
In my image, Syntest had became a service-oriented company.
回復

使用道具 舉報

6#
發表於 2008-8-18 16:53:31 | 只看該作者
我公司也在使用Syntest tool(TurboScan) +1
/ S* O8 B0 `" A+ s5 [  zvirtual scan, ac scan 都有用
回復

使用道具 舉報

7#
發表於 2008-10-21 09:44:10 | 只看該作者
Below is my company DFT releated EDA tools for you reference:
6 o3 L( C: _; v0 f* D1. dft insertion, Synopsys-dft compiler,; h7 ?8 R  g1 g. W
2. dft compression, Synopsys-dftMax," ^0 v, W- W, Y( {& [- @
3. mbist, Mentor-mbistarchitect,0 y9 J7 x6 D% @# U6 m" U6 y: T( s
4. atpg, Synopsys-TetraMax2 O9 G# \  f/ t4 `4 Q& K
5. bsd, Synopsys-dftc
回復

使用道具 舉報

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-2 10:40 PM , Processed in 0.137018 second(s), 25 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表