Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 13248|回復: 9
打印 上一主題 下一主題

[問題求助] 產學研合作?SoC總聯盟與TSoCC的社群合作接觸...

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-30 13:24:29 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
也許 都到大陸去了! 台灣RD們該怎麼走呢?  所以好幾個版的討論人氣都熱不起來... 所以需要產學研合作?
. }9 I& i% L& h# Q6 e. D* k不然如同 jianping讓台灣真的也有一個專業的討論版
6 i  N8 s. N9 }( J4 p6 e2 d# O2 W. u) M; P: A. k0 s) j7 N
尋求學界專家,業界先進們大家意見:
& A; A' ]9 V6 N* n3 }$ R" J8 d5 v+ G) v8 b
chip123 與 SoC總聯盟能 有怎樣的合作?學界論文研究討論?! n9 S7 n! V- ^
chip123 與 TSoCC, STC,  甚至與 SIPO 能有怎樣的合作?官方研究界技轉討論?系統晶片期刊討論?
9 m4 K. c, z8 w* C. u$ T# p. B, D6 p
> From: 羽君 # r0 {7 t1 C; o
> Sent: Wednesday, January 30, 2008 4:00 PM
, ]/ F; S% a' d* A7 `2 B, L> To: chip123王志明
2 p- m/ ^- ?7 t  U' ]* [$ _> Subject: Re: about 96年度SoC總聯盟成果發表會( U6 o9 G, r1 a7 R, k9 Y) t; o
>4 e  l, o. k6 |: I* \! F
> 由於總聯盟將於2月底交接, 之後的活動我會再請新聯盟的助理與您聯繫, 目前相關的活動訊息煩請您參考總聯盟的網站!8 p: z' i4 L6 `, R
> http://moesoc.ee.ntu.edu.tw/index1.htm
8 X1 b% y0 Q' j4 V* ^; k/ L8 Q> 謝謝您!祝您新年快樂~  , E( H6 t1 c$ f6 T$ K
> 羽君& Y% l% f, I. c- @- e- k8 m- X4 y, F
8 u0 V, N# z  Z
From: <@itri.org.tw>
  L# F- w4 g' |Sent: Sunday, December 16, 2007 11:47 AM; \- ~; R4 i4 e! P  y$ y
Subject: Re: TSoCC 回覆: 與Chip123 合作的相關說明$ D2 p% M' ~3 F6 B- }4 e

6 J1 b. S2 }/ O* Q" b> 最近在Chip123看到許多好的Report (e.g., US WiMAX program v.s. M-Taiwan ).7 G5 F9 X( K8 U, a! `  a8 f
> 眾多的會員回應也代表了 Chip123的壯大. 也許STC可以好好思考雙方的互利合作.: T) Y$ ?; x) O) H5 T
> TSoCC may have new change within our organization. However, we also have; U0 W& C2 X) \8 t
> SIPO  (Semiconductor Industry Promotion Office) channel." x- P! ]* r' {9 n5 _1 O
>
# _1 Q* e$ F& l) S1 m- |> Regards,
- L1 R+ o( [$ r2 _3 n! Q2 K>
9 |0 N/ q) q; }# y, E> 安宇 謹上
+ U- w$ ^, `" {* A1 c; S( B* ^( j) K6 v6 D' E+ q* F- O; x
+ @# m. Q. h, [( F. |
技術創新需多方合作
3 X) O  k! C$ h9 M% @+ d新浪網 - 2008年2月29日% k9 E0 \5 ~9 O9 t1 \8 w% x
華虹NEC針對市場對微處理器、通信類、消費類和智能卡等IC產品的需求,開發了性價比較高的0.25微米CMOS工藝技術平台,集成了領先的閃存技術,為SoC(系統級芯片)產品提供了大容量的程序存儲空間。此外,華虹NEC開發了針對SoC產品的IP(集成電路知識產權)資料庫,創新性地為 ...
$ q: A% w9 i7 X+ I- r: ~% e  l- G' J$ d+ X  ~' s- ?+ ?3 v
張汝京:中芯國際「菱形」戰略架構成形! }& ^$ t4 d  }3 d) |9 w
科技網 - 2008年3月18日
+ t! t* [" d- e張汝京表示,由於深圳是主機板、系統業者巨集的重鎮,因此中芯國際以12吋廠服務當地客戶,將會是以先進製程但走系統單晶片(SoC)路線為主。武漢的新芯則將同時生產邏輯IC與快閃記憶體,製程技術將以90奈米、70奈米與65奈米為主。 至於8吋廠方面,張汝京指出,上海的8吋廠的 ...
  c: y8 b  U9 B
5 F3 X* |) t4 h+ m) ^" j, l[ 本帖最後由 jiming 於 2008-3-30 01:58 PM 編輯 ]
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂7 踩 分享分享
2#
發表於 2008-3-30 14:22:41 | 只看該作者

CHIP123科技論壇的優點在於即時性和便利性

CHIP123科技論壇的優點在於即時性和便利性6 h5 _0 `4 F: S: R5 ~6 ^" x1 `/ O: n# `+ ]

+ l3 l# h' z7 T學界論文研究討論: 這是個很好的交流平台,老師們或研究生可以把正在研究的成果放上來和學術界師生一起討論,不用等到一年一度的ISCAS會議 或千里滔滔到國外的研討會上才發表研究心得.
! r1 f' s3 s7 f& V  I, E系統晶片期刊討論: 這個跟CHIP123創刊時期 介紹各大學IC設計晶片研究中心 有異曲同工之妙 , SOC聯盟各大公司/單位 可以介紹自己的產品和研發方向 RD們更可以交換SOC產品開發心得 而不是在產品發表會才知道應走的方向0 e5 x& Y$ J; P" M0 b# w3 u! V( n

! x3 L% j' P: u9 R# xSOC/IP討論區應該可以另闢這幾個專區,類似板主討論區 有特殊身份的專家學者 或soc聯盟會員 才能登入參與討論
/ v7 B* a" u5 ~" {0 v! j$ @4 d2 u* e                                                             至於特殊身份帳號申請可透過各公司/學術單位統一窗口來辦理  + r* u2 \* L# H8 ?9 @% r

9 u6 z8 R* j2 Z; S% l$ F4 V[ 本帖最後由 masonchung 於 2008-3-30 02:24 PM 編輯 ]
3#
發表於 2008-3-31 22:31:51 | 只看該作者
masonchung 大的提議,也許底下這則報導所提及的 學術交流,在chip123也是可行吧?至少 SoC Design 知識領域的 即時性、便利性、互動性...
3 R- P+ Y: J+ `5 p. o6 B- M1 y" E- Y. L: ~  R& q
官大智:學術交流 網站發表新趨勢 6 n7 U2 T: {+ T' v( i
  
$ i6 H3 Z& ^# ]3 q% B% ?8 d學術交流第一個想到的是跟同事間的合作。台灣學術界各做各的,同事間的合作可遇不可求。以我的經驗,參加學術研討會也是與同行交流的重要管道。最近我有更好的方法做學術交流,就是在網站上發表成果,也可是部份成果。我曾用Google scholar查自己的著作資料,結果發現自己的著作比預期多,像上課講義或看完期刊論文解說和註記也被人引用。
6 E# Z4 u+ I5 Y  {% z4 B- l) Z
* C! |, I7 O$ g4 R0 }中山大學重視網路發展與應用,一個由西班牙學術機構做的世界大學排名,在台灣的大學中,台大排第一、交大第二、中山第三。
. x3 i" ?8 B8 x/ J
5 I8 f, Y, b8 i+ J1 O, V一般國外學者對台灣的大學多半不很清楚,經由網路是認識一所大學很方便的管道,中山大學以往在這方面做得很多,排名自然很前面,去年中山大學資工系聘請一位國外老師,這位老師就是看到中山大學在Webometrics的排名,所以申請中山大學,也很榮幸能聘請到這個領域�有名的老師來中山大學。  
2 Z8 ~3 |! V/ h1 \& D  
* Y7 F: t# b: f2 K; p' x' e% `報導日期:2008-03-31 2 E  a4 I3 J3 Z) w
新聞來源:工商時報  A12版/學術傳播與國家競爭力系列論壇2

評分

參與人數 1 +3 收起 理由
masonchung + 3 新趨勢 新力量 感謝啦!

查看全部評分

4#
發表於 2008-9-15 18:20:36 | 只看該作者
工研院系統晶片科技中心 系統晶片技術期刊SoC TECHNICAL JOURNAL 各期題目總表8 x# ?& M- z6 t

& x. W" v3 D7 z2 m# b* Y* J
期別
技術領域
題目
作者
003
通訊系統/晶片系統
8 S8 ]' i3 K" C. {4 [
超寬頻技術發展剖析
" v6 a& M3 f' P- M# TDevelopment Status of the Ultra-Wideband Technologies
! s9 s# f, k( Q7 _' d1 S
莊郁民% Q# P* _9 w8 e: e
通訊系統
# h. B' ], i: R8 Y
多頻帶正交分頻多工之超寬頻設計與挑戰MB-OFDM Design and Challenge, P7 U+ M3 b( Q0 O9 t( z
陳慶鴻.呂明和.蔡文聖.廖丁科
+ _2 M9 e5 t. ]  j0 [  [" v( E# f
通訊系統/晶片系統
) m- K; I1 j, p  ?* C7 u/ K2 G' a# z% S
WCDMA/GSM雙模CMOS接收機
. F3 \- r$ c; P7 F+ V  j9 }3 O/ `A CMOS Dual-mode Zero-IF/Low-IF Receiver IC for WCDMA/GSM Application
; r1 o# ~5 f1 K5 s
許峻銘.郭明清.樓志宏.歐威揚.蘇秉恩.楊子毅
. C1 Q2 Q  U! A4 A
通訊系統/晶片系統
# F% p5 e% Q4 y0 I
應用於超寬頻發射機系統之可程式化增益濾波器) A% o+ @2 i9 _/ M" [
A Programmable Gain Filter for Ultra-wideband Transmitter System6 H" L; B. }- E8 \- ]8 E
李志常
4 z9 |5 U5 E$ ]3 _( q$ Q# @" d
通訊系統/晶片系統
; p* F4 o& K$ Y/ l% q
DTV 調諧器架構分析4 R$ f! h$ J  O5 k9 q9 q4 S. A1 C
DTV RF Tuner Architecture Technology Analysis$ ~7 I' g( l$ h% V" s1 B
吳思賢/ A0 A7 o* N- `9 C( x( X; I1 ~8 x
晶片系統
3 y( S7 ^8 |0 G) r  R$ H7 U
通訊系統中數位類比轉換器(DAC)之電路設計
. q/ M4 h9 D5 |0 `9 q( wDesign of DAC for Communication
% X, Q7 i$ K7 i7 e
劉沛潔
, I  i/ o4 Y2 d; _. u8 R  \1 K7 D
晶片系統+ R. t8 ?1 G7 j& a* F
架構階層功率估測模擬器' n& e, |9 i7 P8 Y% f% B* d
Architecture Level Power Estimation Methodology3 l" [# o5 d# |: l) _" f
張展豪.陳玉書.廖宜道3 A1 y7 `8 M1 Z
晶片系統
. K7 a8 S/ O0 J5 ]. a+ d
DVFS SoC設計與實現
0 I4 f4 h6 _7 fDVFS SoC Architecture & Implemenation: a) P+ N; }3 z3 k' T. U
賴建元.林勁宏
7 j5 N- }1 [$ P
晶片系統
% C) r3 A! k2 S, |2 C) H+ D& `
平台式DMAC之考量與實現  a4 n* a( L6 P3 w# l% z6 p
Consideration and Implementation of Platform-Based DMAC
! F5 m! l) W! Y' @  |" k% g5 G, Y/ t
沈志堅: M7 x' s! `/ \8 H
晶片系統2 x( a, ]$ _$ Z2 e. A/ T
平台式記憶體控制器的考量及實作
1 G4 E; u5 L& @( R9 S! B' QConsideration and Implementation of Platform-Based MC
% N8 c* T0 r+ c8 k0 r- N, R
林群超
" f( s6 \+ ?' u* d8 \1 B/ K
通訊系統
" y6 V% v9 p! ]4 w
多媒體壓縮標準 H.264 面面觀
! @- h' i9 S; l$ a+ K& V2 e* |7 RThe Profile of H.264
. R9 u) K/ r- I4 z3 e& A
曾紹崟.許志高$ x8 u4 o. c& A7 z' M2 f
晶片系統& a* L* {2 ~1 p2 m7 J3 v& Q
準確的SoC混合模式模擬
  Y: A  }' R3 P* k9 j$ V4 z2 T9 ?Accurate Mixed-Mode Simulation for Soc* ?& s/ g- R" \  _
張永嘉.林詠捷.何榮基.羅珮文) k  R. {8 D) v2 ~" F
晶片系統
, I! l; }9 i2 Z' T; U; X% N
應用於改善可靠度/可製造性後段設計自動化流程的方法9 |; h- L4 m8 D' M0 {, t, ~3 }
Design Automation of Backend Flow for Improving Reliability/Manufacturability
! `) J" k- m5 t+ X- d
蘇秀雲.黃俊才.彭政傑
' g2 D3 c( X& A+ F0 B
+ u" m  f3 u- H$ z% k
晶片系統
7 S6 L$ [8 V/ B, j! ]
Soft IP Hardening方法與實現8 ?+ i4 X: x9 t! W) p
Soft IP Hardening Methodology & Practice
8 c( a: z; p4 i: e3 t6 C  J
林勁宏.傅志新/ P" j- ?7 I! E( g0 w
晶片系統! U. F7 o5 L  I" g5 n* t
0.13微米混合電壓共容輸出入單元設計簡介
; ^: Z+ u/ H0 U. w/ v$ eDesign on Mixed-Voltage-Tolerant I/O Cell in 0.13-&micro;m CMOS Technology0 `2 ]" ?# |/ x; n
莊哲豪
) h9 |! {9 ~, [; ~
004
通訊系統3 k4 e+ X" c3 m$ t/ ]0 n; A
WiMAX發展之機會與挑戰
7 U$ I  q) ^; T: WWiMAX Opportunities and Challenges# r& v! c$ g/ y3 J* y5 z% O1 W
康志堅
5 W% f0 E! Y$ Q! B6 h) D
通訊系統; M' ]8 R8 W5 W& Z" V' w
WiMAX 標準與認證簡介
/ H4 x9 |% [7 X& Q2 R% oAn Introduction to WiMAX Standard and Certification; Z; S( L& u1 L
甘傑英
" L# g  X; g! C
通訊系統/晶片系統& r1 f& U/ b, D' E; ]: f
DTV調諧器的低雜訊放大器簡介8 D5 K. h, C, W; L$ O% o
Introduction to a DTV Tuner Low Noise Amplifier
. N' z7 U. _: I0 ^* E8 f2 d4 L0 s" `
李青峰
1 D3 H8 i' n. R. r) J+ t
通訊系統/晶片系統5 p  ?( \7 h- L2 T4 D( n
全頻CMOS UWB接收機! A$ _+ p* f  L) n
A CMOS Receiver for Full-band UWB Communication Systems
. b+ p. ]" [) [8 q
王志偉.陳彥宏+ f% ]3 Q- c& q
晶片系統
, P% I; Z5 E8 x% p$ @4 F
應用於動態電壓調整系統之數位式可程式化切換式降壓器- h, A2 R+ J; k+ c5 e4 W  h0 ^
Digitally Programmable Buck Converter for Dynamic Voltage Scaling Systems
. }  g/ V$ i( T, w- k# b. {/ w
林崇偉0 I* M1 ^( x$ c, n- M
通訊系統/晶片系統: B( W* P. b1 o7 F! L
射頻積體電路之靜電放電防護設計
) X1 y. q0 f& q1 JESD Protection Design for RF IC
/ W6 h! g2 k8 w4 F# U8 ]6 l
黃柏獅.徐育達
* a9 D) m( A4 d  q
通訊系統/晶片系統
% d. v/ A9 Q. M: z2 w6 D
PAC數位訊號處理器' ?7 X! @3 _" E, x. p0 z
PAC DSP7 T3 H  N' v; S3 j- ^$ Q1 \9 a' {! t6 f
張展豪.
, S2 Y& s  }$ z* W3 l" d4 q" s
5#
發表於 2008-9-15 18:21:15 | 只看該作者
通訊系統/晶片系統
* E# o$ h6 i1 {8 U
PAC DSP 驗證流程
4 I0 F7 v: z! ^0 S* Q1 q: dPAC DSP Verification Flow( A& G' T8 X' k6 R! J  ~
廖宜道., G5 A" o( k. y
通訊系統/晶片系統( E2 B( @) i: @+ }
以系統層級設計方法建立PAC PMP SoC驗證平台
: Y) Y- T3 O; {Construct A PAC PMP SoC Verification Platform Using ESL Design Methodology3 A7 [# {2 t: Z- s/ Z1 E/ K6 T
陳紀綱.蘇培陞
8 s% W) Q* l# \: A$ x4 c( `
通訊系統/晶片系統
. J2 x& Z; q$ z7 n; Q( }/ Q/ @
應用在PAC平台上作業系統層級的DVFS設計概論
+ a" D8 a5 ^/ \3 V8 w" Y9 e" bOS-Level DVFS Mechanism Concept and' `; G; y/ [0 h
Methodology on PAC Platform

/ r3 c7 t: _  r* x. u$ D
莊維彥.張明偉2 k) Z* a8 |1 G+ Y6 u; `/ G2 x& A* H
通訊系統
- O6 E8 B2 h0 p6 c* `- Z9 q1 d# O
H.264/AVC、VC-1 與 AVS-視訊演算法比較3 I2 g+ x: w" D: d, C
The Comparison of H.264, VC-1 and AVS-Video algorithm
/ J3 n7 P4 u3 W
曾紹崟) M; j0 x+ J' ^1 \1 o
晶片系統
. O. k) t0 y' I4 b; H
可操作在GHz暨50%責任週期之新型虛擬分數除法時脈產生器6 E0 m7 h7 |$ I6 T: z" b- Z7 `
The New Approach of Pseudo Fractional-N" O! y6 o2 ^# ]7 X6 C& T' C. W
Clock Generator for GHz Operation with 50% Duty Cycle

7 ~8 t1 j- J1 V% c; l; S
楊維斌.陳慶造.郭書菖+ s! w4 {9 e: z% ^# k: m1 R- e
晶片系統
7 g! o" h- G, O# N
靜態隨機存取記憶體 (SRAM )中的漏電流控制* E% E- C3 R" t3 f/ R
Leakage Control in SRAM 8 c9 r: \+ s& C% \) T6 y
  / ]5 z  g) {4 o5 K  m
洪子健  Q3 t4 e1 k# x

; }2 ]# `1 c! A5 w$ g
晶片系統
- P' U* b8 T0 n$ M, W
用於降低峰值電流之相反相位時鐘樹
1 F8 j: u# V7 c/ h$ R6 @  S9 UOpposite-Phase Clock Tree for Peak Current Reduction
# c9 T5 O) x+ z
) h* l4 c5 `* L5 Y# v+ R
聶佑庭
* N1 A/ l+ |9 d1 ?6 r
晶片系統0 p- h8 K2 q! R8 l1 E0 u1 ]0 J
先進閘級層次模型評估與研究
$ a! }  @0 g; w) j  i/ _: _Advanced Gate Level Model Survey and Research 3 v- H9 J  t: k# _
邱怡芳.郭建興.鄭良加. b% z$ p5 n8 }2 }9 g
晶片系統6 y  ]* t! a4 r  V
深次微米元件特徵化趨勢與考量
! w0 B. C4 W  b& w+ kTrends and Considerations for VDSM Cell Characterization
! V- q8 p" m+ O& J/ q9 q' Z
郭建興, m; l" `  F0 s) o. L
通訊系統/市場分析7 [/ K8 J( V( e- S0 _% O
DVB-T/DVB-H Silicon Tuner市場分析, e! G- w: W% x" g3 ~
Market Analysis of DVB-T/DVB-H Silicon Tuner1 D1 e6 P4 ~2 x3 A0 ]9 h
洪威3 C0 a7 H) m3 W  l5 B9 ^' D
通訊系統/晶片系統
1 u& V; v) l+ W$ I0 G0 M
WiMAX RF Front-end介紹
" k* F4 t2 e/ ^0 V: n! a1 [% PAn Introduction for RF Front-end Circuits Used in WiMAX Receiver
+ S1 L' g# c( }6 L+ O  }" L
黃大榮
! V3 i3 g& D/ \' t3 \6 _
通訊系統
! c8 h- d; j. e8 w
IEEE 802.16-2004正交分頻多工實體層之下鏈BER模擬( B( o0 K+ t+ [7 l
Downlink BER Simulation for IEEE 802.16-2004 OFDM-PHY
4 t; E" @, B0 x, [( `- N
丁邦安.吳家豪呂明和
+ N" b1 K4 F. ?% f
通訊系統: ?9 O. D; l; @. z7 l
IEEE 802.16 無線網路安全技術介紹
  b+ d. T0 h+ y/ |Introduction to IEEE 802.16 Security3 E( \' d' P% G
林頌為1 L, I! L' M" ]2 t
通訊系統
. D6 Z0 w5 p  j3 t! R
以Altera Stratix FPGA發展板實現一可變組態多路徑衰減通道模擬器
) o2 z. j5 U% m$ G, qImplementation of a Configurable Multipath Fading Channel Emulator on Altera Stratix FPGA Development Board7 U8 F, k5 J4 w7 X( K$ V! w9 p
唐鴻威.許仁源' ?6 z, v! x% c# G, _! N
丁邦安
) T- w  m* W9 x7 j8 k/ z: c
晶片系統. y( Z0 m, Y" p& n! ^
一套實際而有效率的處理器自動驗證方法& {1 L" k) U8 x" K0 k
An efficient methodology of Processor Automatic Verfication
: C1 J9 e# j/ Y1 @
劉明倫.吳日昇.林仁傑
* ?0 U( o4 P* s# T$ U8 o
通訊系統/晶片系統4 w' J: B9 F: p# {
應用在數位訊號處理器的先進直接記憶體存取控制器! @1 J1 V7 n5 F( A
Advanced DMAC for DSP Processor
: T- ~  A5 C: Y8 C5 D% Y7 R* v+ i+ {
陳玉書.屠禎繼7 F) q- v0 r. z: P5 w/ N
通訊系統$ V& q+ K; Q+ _: q7 I
模型搜尋式的可變長度編碼法& `! O  W! x/ n
A PATTERN-SEARCH METHOD FOR H.264/AVC CAVLC DECODING: C- r( `3 a: T' z& t9 h
曾紹崟.謝天威
) V5 K/ Y$ B3 i$ z5 q
通訊系統
( h$ n% _2 }; x4 d: _  g
超高頻無線辨識系統應用與標籤設計
* s6 |6 n  q# t8 Y1 ]Tags Design and the Applications of the UHF RFID Systems
) E& X$ C, K  M6 a9 K2 }" \
張守傑; `( }8 K6 s' n# I
通訊系統/晶片系統
$ f9 }3 E. k6 K( O) k( s& s' e9 h
A 3mW 20KHz Sigma-Delta Modulator with 93dB DR in 0.35um CMOS( N+ X3 k1 p$ r& ^+ a9 v
張用璽* i' t; N, c" J& e' l: T( `
6#
發表於 2008-9-15 18:21:48 | 只看該作者
通訊系統/晶片系統
# ~% J! U# ?. S  G( r$ v+ H
PCIe Gen2 實體層類比前端/ M3 {) `) M- b' h4 p
PCIe Gen2 Physical Layer Analog Front End+ N( k7 |+ Q% X' p/ S0 X; A4 c9 W$ X
翁盟智.林穎甫.陳欣昭.黃立仁- _. W' r3 P7 @- R6 [
通訊系統/晶片系統2 B: U! x* g- t/ o
多頻帶鎖相迴路分析及設計
  d0 D  o3 c2 o7 jAnalysis and Design of Multi-Band Phase-Locked Loop
8 I) N% b( F* x0 M$ `
陳慶造.張仲宇.卓峰信$ g1 D" w1 Z  V' b' ?
通訊系統
, X( X8 B: N0 M
應用於Giga-bit/s時脈與資料回復電路之相位檢測器
( V  ~& t6 \& ]# {: Q8 I# MA Phase Detector for Giga-bit/s Clock and Data Recovery Circuit
; |6 K" A; |+ Q# l4 ~  K
李瑜.鄭乃禎
) [/ k. e6 |8 w0 y! y* O
晶片系統4 K4 ~+ ~4 Z8 _, ]: R
閘級層次低功率實現技術之改善
; I. k# q8 N+ [. @  P) OImprovement of Gate-Level Low Power Implementation Methodology  _/ X" H9 r3 C' c( w
龍巧玲.陳繼展
2 S0 e+ A, ], O# `1 `3 _
晶片系統' Y4 b3 D" U* v2 h) \9 a$ s- d. U# _3 @& _
可製造性設計應用參數的萃取--晶片導線特性可變異性研究
# ^7 U% ^* b+ H5 pA Study of Interconnect In-die and Die-to-die Variations for DFM Applications, D, a6 E. w# p
彭政傑.陳來福.黃俊才.黃清吉.蘇秀雲
" Z# s8 ?. q2 z8 B2 a3 |" B
晶片系統+ |0 S$ H$ `" y8 Z0 h2 K
南港IC設計育成中心
; _1 \; h1 q2 x+ [The Position and the Role of Nangkang IC Incubation Center
4 {9 z0 D6 b& {. Y0 e2 e
陳燕民.黃珮貞.宋瑩
  e6 s% i+ K) N0 E0 c
晶片系統9 U2 M; u( M. b% b/ t: M" u
行動寬頻裝置的發展現況與市場前景) T) X  n; h7 O9 Y
Current Status and Market Outlook of Personal Mobile Broadband Devices

  s9 e, ?& N) h/ m6 M
朱思穎
. @- y: Y( b4 |( {2 C: a
通訊系統/晶片系統
8 m3 M: l* r( v3 c; u) J% `: x  F
PAC可攜式多媒體播放器系統晶片
' B9 U/ l1 S3 T" I& h. APAC PMP SoC* b  i0 {8 V- {
謝天威.紀坤明.賴建元
3 V2 x  F7 c& P
通訊系統/晶片系統
2 s# Z3 D: Q( p- E1 Y
PACDSP MP3 解碼器
9 K3 v9 I& u3 g% L2 `PACDSP MP3 Decoder2 }# G4 q! Q2 ]. S+ b
楊仁魁
& @5 }0 S# d0 x+ ~
通訊系統/晶片系統9 P4 c0 L: A" ?4 Z5 T8 [
針對多媒體應用之高效能平台式直接記憶體存取控制器
% q# o; p. k# ~+ x) y' x, v% CAn Efficient Platform-Based DMA Controller for Multimedia Application
" r" n% U- L3 y  d1 g% e* ?
余家豪.劉仲凱.康智恆.王尊賢.沈志堅.曾紹崟
9 ]( u3 O' O, {' E/ _; I) X
通訊系統- K0 Q7 N! W, e
行動式 WiMAX 認證標準的制定現況與動態
0 k  w; x3 L+ N) aAn Overview of the Mobile WiMAX Certification Status
* g. d- f3 z9 G- U# P
江守平.甘傑英) K& Y& k% E' i
通訊系統
# e  r, T8 ]+ P' {# o
Mobile WIMAX省電模式簡介7 r$ Q; m- Q  K: G' y9 M
An Introduction to Mobile WiMAX Power Saving Mode

- L. h& i1 k* o
顏鴻傑8 S( T! {  Z! B* ~  p  h# S& |. t
通訊系統
( v& V' \, J! r* M2 E% T
空間多工無線傳輸之低複雜度偵測器
* F, f, D! y9 E" c2 x4 P& n$ CJQRPSD Detection with Low Complexity for SDM Wireless Communication7 c% X: u/ |( b0 M$ H0 w+ `
林心蕾# S/ r$ b) t" Y, ]* a" X
通訊系統/晶片系統! N) h& u$ n: {& Z$ [
應用於WiMAX發射機之具有直流偏移補償基頻濾波器
8 f9 E* P  [! `6 b/ y5 n4 f$ IA Baseband Filter with DC Offset Compensation for WiMAX Transmitter Applications
6 x5 _4 }8 A5 ?/ ?. w
郭信宏9 D+ t9 \  R" p% l4 m6 D: M( i  L; L
通訊系統/晶片系統3 N$ f! E2 E" A- T* `9 A6 v& g6 r/ C
超低功率無線積體電路設計考量
; c' i! P* \9 C# i( w3 |% U$ rUltra Low Power Wireless Integrated Circuit Design Considerations

7 Q9 F( ~, s. g2 }
陳威憲.莊凱翔7 ]  x; L) j& z& G
通訊系統
1 j) L1 ~, ]# ]7 E
電容感測前置放大電路之設計
4 n3 |) D2 b7 w& B2 S& V' F/ GThe design of capacitive sensing preamplifier
1 M6 p+ m6 n8 Z! ?) s* O
鍾啟晨.夏廷魁
2 D9 i0 }( X/ a9 n
晶片系統. X3 ?2 n. n! q, G  d
實現在90奈米製程之低電壓數位類比轉換器
8 V2 G* T# u9 d9 p' ?' A" ]A 1.2V 10-Bit 200MS/s Current-Steering D/A Converter in 90-nm CMOS
- q0 {+ F# @. e& J; o. s- z
游爵豪
& }/ q, H. |' i7 n# l* Q& ]" N
晶片系統
% ?( Q$ M! R7 ^4 ~* e3 m
90奈米多功能可控制輸出入單元設計簡介
- e8 I+ }0 h" z+ l* X  V- QDesign on Configurable I/O Cell in 90nm CMOS Technology
) _8 k( @# t) p9 A
梁詠智.黃清吉.胡芳綾,陳佳惠
; j% V6 x( V2 q' n
7#
發表於 2008-9-15 18:22:37 | 只看該作者
晶片系統( g/ v0 }0 ]  W% D
應用超低電壓動態浮點輸入暫存器於高速除4/5雙模組除頻器電路, o1 N. {3 m1 E2 O3 C% i
A New Dynamic Floating Input D Flip-Flop (DFIDFF) for High Speed and Ultra Low Voltage Divided-by 4/5 Prescaler
2 I* T2 i6 G7 m1 ?
趙廷昇.張仲宇.卓峰信
, `7 z( x6 Z/ S: ^  X/ m
晶片系統
9 H! |7 b' [. \4 a* ~7 w
暫存器峰值電流之改善方法A Method for Reducing Peak Current of Registers
' w  b2 h, y! `/ ?! r
聶佑庭' l' D4 ?- w2 N" ?0 |  P; T
晶片系統& ?/ ?, @2 D; _/ j/ W6 N" W
使用電子系統層級設計方法開發雙核心系統晶片平台
" n+ _; U0 x- i# R1 P5 MApplying ESL in A Dual-Core SoC Platform Designing
2 z: o! b& C- o0 b  s/ ^6 Y; M
蘇培陞.陳紀綱.林士哲1 Z& W/ k: `* q; I6 a
007
通訊系統/市場分析9 _. X) H8 w# ^! E+ u6 E
行動式WiMAX市場展望
% B1 q! G4 k1 T) u4 T. U) mMarket Perspective of Mobile WiMAX

% U8 C) O: \0 ^4 b/ W, l
康志堅
# g& Z7 m. N) p, h. }* N
通訊系統通訊系統/晶片系統, |/ p" ]3 S! s
AAC Decoder在PAC DSP上的實作7 f( m4 ^' R( s5 ^5 w( i- X# g
AAC Decoder Implementation on PAC DSP2 ~* _# }# v6 R* c) [
劉俊男.洪瑞鴻.蔡宗漢
* C  q8 r! X! K% M, _! f5 b3 ^
通訊系統通訊系統/晶片系統
- ?% ~" P* }% K
JPEG 解壓縮在PAC DSP上的實作
6 a  c& N; H, Q0 PJPEG decoder Implementation on PAC DSP8 U& `" d0 y" R1 B/ l
曾紹崟.范益瑄7 d; z! e! I5 l/ w) I  X( d: U5 G
通訊系統通訊系統/晶片系統! c9 O% n+ l) I; U
網路電視應用在PAC SOC平台上資料流程與流量的分析
: S) N3 ~6 g1 K. J, ?- oData Flow and Analysis on PAC SOC Platform for IPTV Application
3 W8 Z+ }3 g+ a( S. y" ]% l7 P
陳澤民.楊仁魁.曾紹崟
, X" j: _1 |0 d% k1 y3 F1 D/ K
通訊系統, q  C3 `6 |/ X
WiMAX媒體接取層自動重送要求機制簡介+ d8 ^% K/ F" `/ z& B
An Introduction to WiMAX MAC ARQ Mechanism0 z( p9 z1 }3 K! H4 g
游文章
6 W5 Q) b" K) F$ t2 Y( Y6 x
通訊系統
2 T+ v8 [. ~/ ?" v6 E
IEEE 802.16e硬式換手機制之簡介
+ b3 [. r* F# S/ I4 j2 wIntroduction to Hard Handover Mechanism in IEEE 802.16e

4 T! d- n" L3 [* p2 o
陳富城
( w/ e4 S9 ~7 B# C
通訊系統
  t- o" E9 L, w! o
WiMAX系統下之多重模式通道估測及追蹤
* `. v& m% @% J. a4 w4 K' EMultimode Channel Estimation and Tracking in WiMAX System
: m# Y' b3 W3 n/ [- u$ `3 |% v
謝雨滔.丁邦安.陳治宇# R# a& [; R5 Q8 u7 e- o6 c
通訊系統/晶片系統
7 p/ \  O4 O: ^) V) g7 `
應用於WiMAX系統之高效能可規劃FEC架構設計, w' i, t# \7 v1 R1 }" X
High-Performance Reconfigurable FEC Architectures for WiMAX2 z7 y; K$ o6 ]
朱峻源.林承鴻
1 B. f* k# f* F- z1 n3 K: U; @- E9 ?
通訊系統/晶片系統7 R: }* }1 y6 E; `
WiMAX RF Front-end Transmitter介紹! K; r0 b. y$ _& V8 W5 [4 f
An Introduction for WiMAX RF Front-end Transmitter

( D3 ^: E  p% m( k
李仰涵
( ]" \% s; U) l( E; V: a, g5 p. R6 f
通訊系統/晶片系統
, R9 i+ E5 x( D
A 1.2V, 10bits, 100MSPS低功率管線式類比數位轉換器for MIMO WiMAX3 W2 @" ?; m6 B) m$ D0 z# j1 S
A 1.2V 10bits 100MS/s Low Power Pipelined ADC for MIMO WiMAX
! _; o6 N% s- C+ P, D
陳玟蕙% J- y( B* _, ]) T% u
晶片系統
. p6 h3 `. B  ]; c) S
管線式類比數位轉換器功率最佳化模型4 D" B% |( `9 M1 J, Q" a; y, B
Power Optimization Model for Pipelined Analog-to-Digital Converter

( b* B1 S: U) T. ?5 r( s
陳以勛
" ^5 g+ n+ Q+ o' @0 {( P, \3 Z
晶片系統
) @( }* T' l3 ^8 I2 x  I
超低電壓電路分析與設計
) x) Q) |" Q; G  K( i  @$ E/ BAnalysis and Design of Ultra Low VDD Circuit

/ s) ?; A4 n; R! ^* V5 b+ {
趙廷昇.張仲宇.羅有龍; O' z" Q$ }. Q. ~# ~
通訊系統9 m3 Y5 C% y& E0 e+ ^* L8 A) L
高效能通訊系統之位元錯誤率測試策略
( `( b: ~5 P' E0 `6 oBER Test Strategies for High Performance Communication Systems
* @7 U0 a% t$ I, K* T
李瑜.鄭乃禎.陳繼展
2 v  [* b6 w, d; n0 i* ~
通訊系統* l# E# J% t5 H6 R, \
異質網路間的無縫式多媒體傳輸0 ^8 Q2 M" k. X9 ?
Seamless Multimedia Communication Between WiMAX/Wi-Fi Heterogeneous Network0 g! }* s" |: S6 ^: H
黃永順.程永華.蔡宗霖
+ o( y4 R' q- X
8#
發表於 2008-9-15 18:24:35 | 只看該作者
008
通訊系統/市場分析- N  R+ h- L7 L6 {: O: H  H
數位行動電視市場分析1 h7 S5 j5 n: X/ Q
Market Analysis of Digital Mobile Television

0 t. s. `: ^. H
李桂華4 e( M2 u  R4 P/ U  N8 C0 \& n' A
晶片系統/晶片系統, g) e, P: [- \: d6 |
工研院PAC計畫-由「超長指令數位訊號處理器」至「多核心計算平台」* _! [- ^% N* j4 C( \2 _
Overview of ITRI PAC Project – from VLIW DSP Processor to Multicore Computing Platform* y5 y$ v% M* F( \
謝天威.林泰吉.劉俊男曾紹崟.紀坤明.朱元華- M7 q$ d' C- J0 C( t1 ~+ [0 l
通訊系統/晶片系統
$ w0 [) C6 @" r) b( o$ m: M
在雙核心平台上以畫面為單元的可調式電壓頻率的H.264解壓器
! n9 J5 l9 |! B% GFrame-based dynamic voltage and frequency scaling for a H.264 decoder on PAC Platform. f& _  f4 I/ c8 v& Q* F& b
曾紹崟.張明偉
/ N; J7 T! ?5 e3 o5 \$ y' P- T
通訊系統
: B0 P$ U& k* L3 j$ C1 B/ P
PAC Duo SoC系統網路頻寬分析7 I) z0 W; o8 g, B8 v1 r' O
System Interconnect Bandwidth Analysis of PAC Duo SoC5 {. c. |' u" Z/ i5 W( X, Y4 f
紀坤明.李國丞.黃保瑞林周坤" D+ f6 ~6 V" \8 N0 V

& _' N. M# _% h  p8 y" A
通訊系統/晶片系統% z) u$ B' n5 m, Z
IEEE 802.11n基頻訊號處理技術
/ }4 W& @# [  D. aIEEE 802.11n baseband signal processing techniques0 \: d( W* W5 v1 h/ M+ q6 Q0 Z
陳治宇.石韻宜.王志凱丁邦安
$ I# }' a5 C+ I' O' F
通訊系統/晶片系統
! x) p0 o2 n/ b" Z6 x
應用於WiMAX接收器球型解碼之基於CORDIC架構QR分解電路) Z$ d; C% l& O4 L3 t- U& `1 X
CORDIC-based QRD for the Sphere Decoder in an MIMO WiMAX Receiver
& ]) V0 }' M: E. g8 ~
陳楨明.陳逢期
7 c3 k4 L9 I' M1 Q0 S+ b
通訊系統% }# j: I( ]) Q, Y( u% p9 H$ I
利用QoS參數之分類排序以建立WiMAX省電類別之方法
2 t& x, A) J8 F+ W$ c# zA Sorting Method for Power Saving Class Creation Considering QoS Parameters in WiMAX
7 a; Z, J: K% }  w  T$ a
康 諾.顏鴻傑.邱玉敏
2 j- d' K7 X8 _3 l- I
通訊系統/晶片系統
4 O" Z' i/ v% ~4 y7 f& q
射頻直轉式架構I/Q升頻調變器載波洩漏校正電路介紹) i- W. j/ |2 j' Z
An Introduction for RF Direct-Conversion I/Q Modulator Carrier Leakage Calibration Method
: _' ^' m! u- j+ E* f4 Y( b
許漢州
& H2 X4 K! f! Q) |2 o% [$ f$ l
通訊系統/晶片系統! Q& g( F# b$ r! q* i5 A3 @7 L% R8 K! _
應用於超寬頻數位類比轉換器
" r, G4 [( o3 \) r% Y  X6 [" j& h* lA 1V 6-Bit 2GS/s Current-Steering D/A Converter for MB-OFDM UWB Transceivers
5 W1 w3 q# i# D! P1 V6 G' n* L
林書民.謝青玹: K: X/ R% U" [) i
晶片系統9 C; F* U  E/ R5 y) {2 y
應用於超寬頻接收器的低功率,6位元, 1.2-GS/s之雙通道快閃式類比數位轉換器$ j0 p7 q( p! T+ W' O# S6 }
A Low-Power, 6-Bit, 1.2-GS/s Dual Channel Flash ADC for UWB Receivers   }* l- X! H1 R. l$ i5 V2 C6 d
陳博瑋.鮮思康* M8 W, o$ E. o8 v5 D  Y6 Z
晶片系統
! z2 k) `7 O% @, p$ P
超低電壓300MHz 8X8管線式乘法器之設計
" d7 y( j2 T: l7 B" e' ?: j) u300MHz 8X8 Pipelined Multiplier Design with Ultra-Low Voltage 1 Y2 P- ~6 N9 u+ B
梁詠智.黃清吉! C) b. N* X$ M+ m& R
晶片系統. j3 ^) L# @' V. |6 Q+ H
具有寬頻操作與高解析度之內建式抖動量測試技術% N2 F( ~; b* `2 B4 V5 k2 v
A Wide Range and High Resolution BIST Technique for Jitter Measurement 8 k5 [8 M+ L& F$ s9 C
李 瑜.鄭乃禎.陳繼展
1 o) |5 a+ W$ s' e
晶片系統
" l5 [( T- D( k3 C
考量雜訊電源供應網路設計之快速佈局規劃
) y$ k1 K% s* z  ?" D1 gNoise-Aware Floorplanning for Fast Power Supply Network Design
/ N, y( M( G- F1 a9 |
林昌賜
& u( R+ c7 c' J' L' H
9#
發表於 2008-10-20 14:29:54 | 只看該作者

新竹科學園區管理局補助SoC設計課程

新竹科學園區管理局為協助半導體(SoC設計)從業,或研究人員提昇人力素質及專業技術能力。特補助經費委託交通大學電子系人才培訓中心,於2008年第4季推出師資優良、學費最划算訓練課程。7 T- F6 W) T8 L2 @

: Z$ I5 U3 K% F7 S8 d% c本梯次自2008年10月25日起,陸續推出「積體電路之靜電放電防護設計」、「OFDM基頻傳收機之設計(WiMAX基頻)」、「Overview of SRAM Design in Nanoscale CMOS」、「多頻帶射頻前端電路之設計」、「嵌入式記憶體電路設計與高良率實務」、「WiMAX系統設計(含MAC設計)」等課程。
. ?1 H/ t7 I4 k  S# v; r7 C# M: S: w5 w  @. d& ?
每堂皆是關鍵技術課程,舉凡靜電放電防護,以至最新WiMAX技術,都值得來深入探討。師資包含交通大學資深教授,及擁有業界多年資深工作經驗者,詳情洽交通大學電子系人才培訓中心。
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-17 05:39 PM , Processed in 0.165521 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表