Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 5278|回復: 14
打印 上一主題 下一主題

[市場探討] 聯華電子與美商捷碼完成65奈米設計單元資料庫特性分析

[複製鏈接]
1#
發表於 2008-3-5 15:58:27 | 顯示全部樓層
捷碼科技 (Magma) Volcano支援台積電(TSMC)45奈米和65奈米的IC實施* Q2 L9 N' z1 n2 q7 y, h6 Z
6 v+ |) m" Z3 E" f
: M$ d+ m' x" K% }
台灣台北,2008年3月4日訊 ─ 晶片設計解決方案供應商捷碼科技有限公司(納斯達克代碼:LAVA),於今日宣布台灣積體電路製造股份有限公司(台積電TSMC)將於2008年3月份開始提供捷碼公司的Volcano™數據庫以及Quartz™ RC技術文件,連同台積電單元資料庫一起下載。
' |; L0 D# R; T& m0 y' g  r2 e& H: R. U, \/ f* o
利用Talus® IC實施系統中的文件以及單一指令,設計師能夠有效縮短45奈米以及65奈米積體電路的實施時間,並有效減少工作量。而在開始設計實施之前,其它的流程仍然需要多個步驟,包括將LEF/DEF文件、製造規則以及RC提取技術文件導入到多個工具中。; R* I+ E5 p( \7 ^6 c& L' O

+ l" h/ ?: c( t+ o, I- \Volcano數據庫中也提供了一種更為有效的方法,使得實施開始之後的設計變更能夠得以實現。如果使用單獨運行的工具,設計師們需要耗費大量的時間將數據庫導入到相應的單點工具中。在實施過程中,如果設計師決定在資料庫或者設計規則之間進行切換,那麼必須重複若干次將所有數據庫導入到多個工具中的過程。由於捷碼公司的軟體是基於一個統一的數據庫模型,因此只需要導入新的數據庫即可。當只更新其中之一時,舉例說明,當台積電只更新器件單元資料庫,而不更新規則文件時,通過分別提供Volcano資料庫視野 (view)以及Volcano設計規則,使得台積電提高了下載的效率,並有效減少了Volcano的維護工作,反之亦然。」8 H' K" E9 t* Q$ w; E0 X
8 e0 d/ g% H# x" F5 l# D9 f; f
  台積電資深設計架構行銷總監ST Juang表示「為了響應對捷碼積體電路實施工具組件以及支持其統一數據模型的先進技術設計架構持續增溫的需求,我們實施了對捷碼公司Volcano數據庫的支持。」他進一步強調「通過輕鬆地存取訪問高質量的數據庫,將大幅度地提高設計效率,並增加矽片首試成功的概率。」
4 {# m3 U. l. A6 Z& m8 O7 A6 l. r' y5 q$ P3 I
  捷碼科技設計實施事業部總經理Kam Kittrell表示:“將台積電強韌(robust)的製程技術和製造能力與捷碼公司整合的IC實施軟體結合在一起,無疑為用戶提供了意義非凡的性能、成本以及周轉時間等優勢,”他繼續表示說:“我們很高興能夠與台積電緊密合作,使得設計師們能夠更方便地充分利用我們的解決方案。
, V2 _. e9 d# W$ }  O9 B
7 P$ d- R8 E1 w  y  台積電已經針對經認證的工具數據庫,開發了一整套完善的品質控制製程,而且正在針對各種應用在45奈米、65奈米和90奈米等技術節點實施晶片設計。從3月份開始,台積電網站將會提供45奈米和65奈米的Volcano 顯示環境。
2#
發表於 2008-3-5 16:01:42 | 顯示全部樓層
捷碼科技(Magma)發表Titan™首套結合了全晶片、混合信號 (Mixed-Signal)、分析和校驗的IC設計平台
+ i4 H6 A: p$ t' j1 v1 C" A無與倫比的模擬、類比優化 (analog optimization)、晶片完工修整 (chip finishing)6 [, b6 p; {( e  \7 F5 C. o' f& N! V& C# W
以及物理校驗整合(physical verification)和自動化 ) G) t9 |; s# O! c6 l( g6 _- h
2 ^3 ~3 J- f9 Z  d3 W' {' {
台灣台北,2008年3月4日訊 ─ 晶片設計解決方案供應商捷碼科技有限公司(納斯達克代碼:LAVA),於今日發表了首套全晶片級混合信號設計、分析以及校驗平台Titan™。不同於其它設計解決方案,Titan™將混合信號實施方案與數位實施(digital implementation)、電路模擬(circuit simulation)、晶體管級提取(transistor-level extraction)以及校驗緊密整合為一體——使得類比設計師們實現了效率和生產力的重大突破。3 ?3 f' p& ]4 Z& f" B: [
3 i) N( M' @$ A8 a; Q, \6 n- K( E  p
由於 Titan™ 同樣基於捷碼科技的統一數據模型,因而它能夠緊密地與捷碼科技的Talus® 數位IC實施、FineSim™ Pro電路模擬、QuickCap® TLx晶體管級提取(transistor-level extraction) (同樣在今天發表)以及Quartz DRC 和Quartz LVS物理驗証產品共同工作。其結果就是類比和數位設計團隊不再單獨工作,而是能夠對彼此的設計空間有一個清晰的了解。
, l/ ^. N* D1 M; @/ h+ U% m, s" L" M3 r' y3 W
捷碼科技主席兼首席執行長Rajeev Madhavan 先生表示「Titan™平台是捷碼科技發展歷程中的又一個重要的里程碑,實現了IC設計中的遊戲變換優勢。」他進一步強調「通過針對數位設計的Talus和針對混合信號設計的Titan™平台,我們已經達到了之前電子設計自動化(electronic design automation)行業從未向晶片設計師們提供的整合水準。」( t7 k: ~, {7 Y4 g" ]
- d; ~/ _) w2 `7 V: G: b  C
捷碼公司訂製設計事業部總經理Suk Lee 先生表示:“類比/混合信號設計工具已經無法像數位設計工具一樣緊跟摩爾定律(Moore’s Law)的發展步伐,利用這一無與倫比的類比IP優化(analog IP optimization)以及過程移植(process migration)、統一模擬(unified simulation)、物理驗証、物理設計和晶片完工修整環境的自動化-以及與數位設計流程的現場整合 - Titan在混合信號設計(mixed-signal design)的變革中實現了重大的飛躍。”
. U# U* |( D8 w# [4 M9 e. e3 o$ G2 j" c, M: n# g9 K& o
Titan:類比/混合信號設計的進化+ s4 e& T, k3 |' U+ ?) Q2 ?
  目前類比設計流程及其團隊與數位工作是完全隔離的。類比積體電路很大程度上仍然是全部訂製,而且需要艱辛的手工草圖繪制。除了成本相當耗時又易於出錯之外,晶體管級(transistor-level)的設計風格也不允許將現有的設計輕鬆地移植到新的代工廠(foundry)或新的製程/技術節點(process/technology node)。相反,此類設計的有效移植需要從頭開始進行電路重新實施。而通過Titan平台,類比設計師們仍可將自己的專業知識應用於第一電路拓撲的定義,但移植到新的節點將更為方便。/ m, `1 o5 n7 O; Y( H& C9 v8 c

) }6 J5 m1 V- V# }閃電般的自動化晶片完工修整以及與數位實施的現場整合 % G* s: |: f: a& K! Y; d
  在傳統的流程中,晶片完工修整 (chip finishing) — 設計中的數位和類比模塊已經完成協同的佈置和佈線(placed and routed)— 是需要手工干預、相當耗時的一項工作。Titan的晶片完工修整是平台中首先發佈的產品,提供了完整的、自動化的晶片完工修整功能。 快速的、高容量的系統將混合信號平面規劃圖與Talus的佈局和佈線(placed and routed)功能整合為一。它能夠輕鬆、熟練地處理最大規模的設計,通過一個有效的、基於約束(constraints-based)的方法自動化類比網絡和特殊網絡佈線,通過與Talus、Quartz DRC 和 Quartz LVS的現場交互界面,使所有的混合信號平面規劃圖能夠立即用於物理和時序驗証簽核分析(physical and timing verification sign-off analysis)。Titan的晶片完工修整能夠實施同時影響類比和標準單元(standard-cell)組件的階段晚期的工程變更命令(ECOs),而不會導致嚴重的延期。
* s! v+ U. m9 w$ Z" E* ^
9 t* h1 |6 V( j$ ]* ]高效率的全晶片電路模擬
& s) X! P& w6 F$ K! ?  利用業界領先的電路模擬器(circuit simulator)FineSim,以及堪稱業界黃金標準的寄生提取(parasitic extraction)工具QuickCap TLx,Titan提供了一個整合的模擬環境。對於真正的混合信號設計,FineSim 接口也允許全晶片的電路模擬(full-chip circuit simulation),使得設計中的類比部分實現了SPICE 級(SPICE-level)的準確率,設計中的數位部分實現了fast SPICE 級的準確率。在將晶片交付矽片生產之前,這一特性確保了類比/數位接口的有效模擬和校驗。# w8 u: ~3 V- m

3 o2 g' p( f. m  Titan 晶片完工修整功能目前已經上市。欲獲得關於捷碼公司如何整合類比和數位設計以加速混合信號設計的開發,請上網 www.magma-da.com/WPTitan.html 下載白皮書《Titan 統一的、自動化的、全晶片混合信號設計解決方案》。, k" x- Z9 ~% f2 y+ j4 {* `2 U
) e2 A6 Y6 d, s1 M6 }

7 D8 J3 X( P4 d; ]

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
3#
發表於 2008-8-1 18:08:23 | 顯示全部樓層
捷碼科技(Magma)以全新點陣圖像瑕疵分析功能強化Knights YieldManager
% P8 n; m4 N* s/ k& m; `) f0 X提高產品良率並縮短上市時間
+ G1 C- Z" {" t$ w; {

* J7 L2 s2 N# K7 m  C, g& ?美國舊金山(發自SEMICON West)訊 — 晶片設計解決方案供應商捷碼科技(Magma)(納斯達克交易代碼:LAVA),宣佈旗下為全世界半導體晶圓製造商所提供的可客制化良率管理軟體系統Knights YieldManager®引進全新強化功能。這些強化功能將使晶圓廠的良率管理、瑕疵分析、測試和產品工程師們得以收集、關聯、分析與分享內部度量資料、測試資料及晶圓廠資料。經過對軟體系統中點陣圖像輪廓和點陣圖像載入器的功能改善後,YieldManager用戶將可更精確有效地進行電子點陣圖像失效與線上度量資料、瑕疵資料之間的相關性分析,以提高出片良率並縮短產品上市時間。$ g4 p" y1 h) u. D* T
" l  S4 K3 O8 ~  D# o- k. M4 u
在導入強化點陣圖像模組後,YieldManager現在提供更全面完善的瑕疵點陣圖像分析。YieldManager可收集與儲存來自不同測試裝置、進行各種參數測量所獲得之更大量和更豐富的點陣圖像資料集,同時具備更具效力的圖形使用者介面,搭配多項全新分析與繪圖功能,包括從多個點陣影像模具進行堆疊的堆疊密度圖,及依頻率進行色彩編碼的行列失效。藉由上述應用功能及全新點陣圖像瑕疵分析功能,辨識失效位元型樣、自致命瑕疵中區別低優先度瑕疵,以及確認致命瑕疵的進行都將更為容易,進而可提升產品出片良率。  I4 d9 B8 ]" Z' s' p) u+ S

( I  t+ D  A, ~' l「透過加速對失效根本原因的分析,以及消除在晶圓廠內,運作多重客客戶端伺服器應用軟體的必要性,YieldManager節省了工程時間並集中使用資源」捷碼科技晶圓分析業務部副總裁Ankush Oberai表示,「利用YieldManager的全新點陣圖像瑕疵分析能力,用戶將可執行更精確的分析並進一步提高生產力。”
# c  Q' W" p- R, P+ \" K7 t! F$ ^2 M6 p  T
6 Y* \" }! c' _! u) Q7 h/ J

% z* ^8 `5 ~( ~" j5 p! Y/ S
: l% K. c9 l; a
捷碼科技CAD導航工具Knights Camelot新增諸多功能選項6 E5 {/ M0 R; M4 i0 \3 W
強化設計與製造關聯、縮短晶片從概念到產品成型時間
8 g" H& K( y& w6 q; X% f+ v1 w
Knights Camelot為第一個能在製造過程中利用設計規則檢查(DRC)功能的CAD導航工具& ]1 x6 M: ]" c  s9 U! p
& u$ |& h5 V( v
美國加州舊金山訊 - 晶片設計軟體供應商捷碼科技(Magma®)(納斯達克交易代碼:LAVA)宣佈大幅強化業界標準CAD導航系統Knights CamelotTM的功能。其中,一款新的功能選項,使Camelot成為第一個能讓故障分析工程師在製造過程中進行設計規則檢查(Design Rule Checking, DRC)的CAD導航工具。新的Camelot功能選項加強了設計與製造關聯,並徹底縮短了大批量產良率時間,同時降低先進積體電路的製造成本。
& f! f+ w+ v% h' Z$ z# e" E) p( o" d# t+ D; h
捷碼科技製造分析業務部副總裁Ankush Oberal表示:「Knights CamelotTM的強化與諸多全新功能選項,將使半導體晶片從概念到產品成型所需時間大幅縮短,這是我們在過去一年半中高密集研發工作的直接成果。Knights科技公司在近20年一直提供業界領先的故障分析、CAD導航和良率管理工具。在捷碼科技與其合併後,更使積體電路生產與製造分析得以緊密結合,實現了真正的可製造性設計。」
; Z5 `% _. p5 _# {- N8 n
( M( }; q% l: p, z新的「線上搜尋分析器(On-Line Search Analyzer)」選項,加速並簡化了故障分析的搜尋功能。舉例來說,傳統需以手動進行可用聚焦離子束(Focused Ion Beam, FIB)修改區域的搜尋,透過自動化後進而加速且簡化了聚焦離子束修改工作。在這種情況下,線上搜尋分析器會針對佈局檔進行3維搜尋以找到合適的區域,讓聚焦離子束系統能通過電路系統,將離子束投射至影響點,又不截斷或影響其下方的幾何結構。這個新功能選項,整合了多項捷碼科技設計規則檢查(DRC)功能,使Camelot成為第一和唯一能讓故障分析工程師在製造過程中,利用設計規則檢查(DRC)功能的CAD導航工具。有了這些新功能,使用者將可針自行定義各種故障分析和裝置除錯技巧的應用。此靈活性讓使用者能夠縮短設備除錯和修復的週期時間,並降低報廢率(scrap)。$ U9 f; x. c" w% m
# A2 [+ n( D9 Q$ r! H% x
同時,新的「熱點分析器(Hot-Spot Analyzer)」功能選項可分析佈局中的多重區域,並找到與這些區域鄰近或交叉的通訊匣層電路表(netlist)區段。一般而言,這些區域定義了光子射出(發射點)範圍,然後顯示每個熱點區域中的通訊匣層和每個通訊匣層的熱點數量。這項功能則縮短了利用發射式顯微鏡(emission microscope, EMMI)進行診斷程序的處理時間。# b" {0 _. K! h9 s

) T: e$ q; s7 H$ c此外,新的「即時圖像套疊(Live Image Overlay)」功能選項,更大幅提升製造工具平臺系統的準確度,使平臺系統與佈局協調一致。為了解決許多高倍率檢查、分析、探測和掃描電子顯微鏡(scanning electron microscope, SEM)工具可能存在的平臺不準確性,即時圖像套疊功能選項會擷取接受檢查設備的圖像,並將圖像套疊至設計佈局上。然後,利用先進的映對技術(mapping technology),確定圖像和佈局的關鍵共同功能,再使這些功能協調一致。藉由圖像與佈局的一致,將可校正任何平臺的不準確性,以使工具能夠準確地利用設計佈局達到精確的同等調教。
( z% p3 e( H8 S, X7 T, O! I4 P* A% U( d
Oberai進一歩指出「這種整合為企業帶來多重助益。原先因無法運作而不得不棄置的晶片現在也能修復而出售,因此降低了銷售收入損失。在設計與故障分析之間建立關聯,代表設計師可將更多的時間運用於設計工作上,改以較少的時間來驗證設計的可製造性。而工作任務周轉時間縮短,則意味著產品可以更快上市,這又是所有利基點中最為重要的。」
4#
發表於 2008-8-1 18:09:05 | 顯示全部樓層

捷碼科技(Magma)發表新一代Knight LogicMap及IntensityMap產品

提供Camelot CAD Navigation軟體的交叉映射功能實現更快捷、更準確的裝置故障分析與除錯

/ H8 r( \9 T* P% O美國舊金山(發自SEMICON West)訊晶片設計解決方案供應公司捷碼科技(Magma(納斯達克交易代碼:LAVA),發表旗下Knights LogicMapIntensityMap軟體新一代版本,將使半導體邏輯設備能更快速並更精確地進行裝置故障分析與除錯。
* v6 \/ |, Q/ X, J' J4 m8 a
% @# e2 y  k% w4 P7 ~( R升級後的產品所提供的主要強化功能,在於如何把通訊匣層故障net failure與內部瑕疵資料(隨機瑕疵)及無效通訊匣層頻率failed net frequency(系統瑕疵)進行關聯分析。LogicMap能夠將邏輯通訊匣層翻譯並規格化為物理座標,便利於故障通訊匣層與晶圓廠內部資料的相互關連。同時利用堆疊同一產品多路模具所產生的多重故障通訊匣層之結果,並依頻率進行色彩編碼後,工程師將可辨識系統故障熱點,進而把分析工作重點集中於這些區域。  s6 P& J( ?& D/ X0 i

2 z, v# l# p- g% h* y! u: W, G新版本產品的全新互動式IntensityMap結果與Camelot CAD導航的交叉映射功能,將可提供更快且更精確的關閉式迴路分析與除錯。經校驗的故障通訊匣層,可被交叉映射為佈局對比原理圖結果。之後,Camelot工具驅動程式便可驅動故障分析設備,擷取故障通訊匣層的準確物理座標,進而完成更快捷的裝置除錯作業。藉由上述強化功能,IntensityMap可同時縮短裝置除錯時間及設計最佳化的工作週期。
" k. m* Y: Q3 F6 G1 n9 ^- a
8 L; A# ]) I, ?, c- |" I+ g- a/ e8 ?「對任何晶片無效的根本原因能夠發現得更加迅速,晶片就可以更快投入全面生產。」捷碼科技公司晶圓分析業務部副總裁Ankush Oberai表示:「有了新版本的LogicMapIntensityMap,工程師便能利用晶圓廠內部瑕疵資料,迅速且有把握地找出可疑的製程步驟,並大幅降低進行物理故障分析的必要性。毫無疑問,如此將縮短故障分析製程並顯著地降低成本。」
9 t8 c, M7 J! R0 [% |; x7 d1 ?: G9 z* I1 }/ k4 Q

# u5 G! D3 u# d9 Q0 s# m, Q- B新一代LogicMapIntensityMap:進一步改善自動化、準確性與靈活性
3 c( ~( [) B" E. ~, c$ v. }3 x
  J9 `( e$ x' N4 t除了改善通訊匣層故障和晶圓廠內部瑕疵資料間的關聯性及與Camelot的交叉映射外,LogicMapIntensityMap的其他強化功能還包括:
- B: J* X8 L" C% A. s/ y0 N! s
/ `9 D/ p/ ^3 Q, I5 w
l透過移植到Linux系統,工程師可使用性能較高但成本較低的硬體設備
4 p% h* N8 A" U
l更簡化的資料輸入、提高了自動化水準和資料準確性
! X) K* p8 Y5 F; k% p% @
lIntensityMap支援區域分析,並可與具備業界標準的Camelot CAD導航系統進行交叉映射,可改善精準度並提高了分析靈活性

. h* Z- W! _. N/ U" {5 X- X3 ~. K
lATPG支持擴充到包括FastscanTetramaxEncounter DiagnosticsTestCompressYield Assist在內的所有主要故障診斷工具。如此將可把診斷結果回饋到裝置最佳化的導入流程中,使設計工作與實驗室和晶圓廠緊密連結,提供真正的可製造性設計(design for manufacturability
5#
發表於 2009-3-11 11:54:25 | 顯示全部樓層
捷碼科技最新Quartz DRCQuartz LVS版本顯著縮短運行時間,改善
與第三方物理驗證工具相容性
7 l( X+ m* m& u. m" j
美國加州聖荷西  – 晶片設計解決方案供應商捷碼科技(Magma®)(納斯達克代碼
  e# d6 j4 T$ B( B! VLAVA)日前宣佈,新版的Quartz™ DRCQuartz LVS物理驗證工具正式面市。新版產品提供各種增強功能,包括:顯著縮短運行時間以及提供直接從第三方物理驗證工具中讀取檔的能力。這種全新的檔讀取能力可以減少採用Quartz產品進行設計的工作量和成本。憑藉此版產品,用戶可以更加容易的利用Quartz工具的先進技術來顯著提高驗證效率。; p& E$ u; f6 r5 L9 K
: F% g+ f( j. p/ x
Quartz DRCQuartz LVS可以用最短時間驗證各種工藝節點以及各種大小的積體電路(IC)的設計。捷碼科技公司的解決方案是業界第一款真正線性的物理驗證解決方案,通過利用現有計算資源,驗證週期比現有第三方解決方案快上一個數量級。同時,Quartz工具可與第三方IC實現流程完全相容,能夠讀取傳統物理驗證工具所用的各種檔格式。如果與捷碼科技Talus®數位實現平臺相整合,Quartz DRCQuartz LVS還可去除實現系統與物理驗證工具間資料轉換的需要,節省更多的設計時間。
0 W! r& O) b- s. \% Y8 Z) i 8 J& N' V% f/ |
最新版本的Quartz DRC/LVS還提供了增強的電源/地短路檢測功能,能夠準確找到短路的確切位置而不只是發生短路的路徑,同時還提供了單次檢測多處短路的能力。這就使得短路的糾正工作更為容易,加快了全晶片調試的速度。% f3 |6 _7 p1 s3 M8 |

: A9 u: l' @2 C' F! ?  ~作為捷碼科技軟體的長期用戶,設計服務諮詢公司Fastrack Design已經檢驗並將新的Quartz DRC/LVS版本用於基於Talus的設計流程中,發現新版本提供的運行時間要比現有驗證工具快3倍。新版產品還使得Fastrack能夠優化流程,進一步改善整個設計週期。9 E$ K8 \3 r& k" D6 F; E! e

" I3 L6 ^+ f$ u) \8 J作為一家設計服務提供商,我們花費了大量時間和精力來確保我們能夠支持多家代工廠的設計規則和客戶已有的設計,”Fastrack Design總裁兼首席執行官Moazzem Hossain表示,“Quartz DRCQuartz LVS工具與第三方物理驗證工具的直接相容性去除了對額外編譯、腳本和設置的需要,使得我們能夠更快更輕鬆地提供必要支持。% O1 b+ `: X9 U0 b1 z
. f: k7 [6 ~1 |4 B8 T
半導體公司要想能夠在現有經濟環境中生存和發展,就必須縮短設計週期和開發成本,同時還要改善設計人員工作效率,捷碼科技定制設計業務部總經理Suk Lee表示,與第三方物理驗證工具的完全相容性、更高的性能以及更短的運行時間都使得Quartz DRCQuartz LVS成為了今天的高級設計中必備的工具。
6#
發表於 2009-3-11 12:05:20 | 顯示全部樓層
捷碼科技賦予SiliconSmart全新功能識別能力,加速對複雜的標準單元和I/O的建模
這款快速、精確且易於使用的系統可生成模型以提高晶片可預測性並改善設計師工作效率
6 X  j& e2 U3 a9 ~1 r( `) O) g
美國加州聖荷西  2009226晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,該公司已賦予SiliconSmart®程式庫特性化和建模產品線全新的功能識別能力。通過利用先進演算法,SiliconSmart可自動識別複雜電路的功能並進行建模,生成所有時序通路的有效向量集。通過去除耗時的手動分析工作,SiliconSmart可顯著減少橫跨大範圍工藝節點、供電電壓和結溫建立和特性化複雜元件所需的時間。
% _6 E4 P! Z6 D: Y. J2 b' s
7 J7 B& g; z7 E" N3 |) P% s“今天的物理設計流程提供了很大靈活性,使得設計師能更容易地在設計中納入定制的IP功能塊,”捷碼科技定制設計業務部總經理Suk Lee表示。“雖然這帶來了設計優化方面的顯著改善,但同時它也給建庫團隊帶來了更多的工作。SiliconSmart擁有自動識別功能並快速生成完整準確的複雜電路和IP元件電學模型的能力,可讓庫團隊能夠滿足實現團隊的各種要求。”6 @7 t; |2 k9 u3 N+ P2 X8 K2 z, j

& \& L/ B6 F4 ~: L# W+ `; i6 C( R+ ~SiliconSmart:更迅速更精確的特徵表徵和建模
. L7 N: r2 j. @具有業界領先的精度、吞吐量和易用性的SiliconSmart,可面向所有主流設計流程提供標準單元和輸入/輸出(I/O)的特性化和建模,支援先進的時序和功率建模。它是一個完整的程式庫特性化和發佈系統,能夠以最少的設置工作生成可用於生產的模型。
7#
發表於 2009-3-13 13:45:01 | 顯示全部樓層
ZiiLABS採用捷碼科技Quartz物理驗證產品進行ZMS-05試產
Quartz DRCQuartz LVS提供了快上5倍的驗證時間

+ ~5 W7 x. T4 Q7 Z+ J美國加州聖荷西  2009312晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,StemCell™計算的先驅者ZiiLABS採用了捷碼科技的Quartz™ DRCQuartz LVS物理驗證系統來進行近期發佈的ZMS-05處理器的試產。Quartz工具是ZiiLABS基於捷碼科技Talus®平臺的超低功率65奈米(nm單晶片系統SoC)實現環境的一部分。Quartz產品的可擴展性以及與Talus整合性均使得ZiiLABS能夠顯著降低ZMS-05試產的時間。5 c8 |, V" I! C

: f" {+ F: a* N$ C5 f作為一款富媒體應用處理器,ZMS-05在低功率環境內提供了高性能應用、圖形和多媒體功能,使得客戶能開發大範圍產品以提供增強的移動互聯網流覽、媒體重播和捕捉、導航、視頻通信以及遊戲。: d' z7 L0 d2 w) D; e" l

7 U+ E4 m$ ^% I- u- S: R多核設計包括了24個可完全編程處理元件、雙ARM核以及大範圍的I/O和週邊功能。ZMS-05通過其獨特架構和實現方法,實現了超低的功率;該設計提供了動態電壓和頻率縮放、多時鐘域、RAM待機和電壓島等功能,可實現晶片內16個區域獨立功率的降低。
" B2 T/ r$ x; J3 E2 \  w( b9 f( s 7 U- ?! C: e! b. y6 G
“作為低功率SoC領域的市場創新者,ZiiLABS在先進電子設計自動化(EDA)解決方案上進行了投資,從而使得我們可按預算、準時地為市場提供高度複雜產品,”ZiiLABS公司技術戰略副總裁Paul Pontin表示,“通過在全晶片驗證期間使用多CPU方法,Quartz DRCQuartz LVS可將驗證時間從2.5天縮短為9小時,只需一個通宵即可完成驗證工作,從而提供了顯著的試產期間生產率的提高。代工廠90奈米和65奈米設計規則可供下載,捷碼科技的專注支持為此次關鍵試產的成功提供了高度信心。”2 E) v% I" O$ r. P( y1 u
& g0 t; \2 Q9 J/ v8 k
Quartz DRCQuartz LV通過其線性多CPU架構實現了更快驗證速度。使用Quartz DRCQuartz LVS進行最終簽核將可顯著縮短ZMS-05最終物理驗證時間,”捷碼科技定制設計業務部Suk Lee表示,“這種加速功能再結合Quartz DRCQuartz LVSTalus實現環境的高度整合還可顯著減少設計的整體實現時間和工作量。”
# y3 ^2 \( G* m) M * O6 L7 S+ v$ [4 I/ ~0 o, a
Quartz DRCQuartz LVS可以用最少時間驗證各種工藝節點以及各種大小的積體電路(IC)設計。捷碼科技公司的解決方案是首款真正線性物理驗證解決方案,通過利用現有計算資源,驗證週期比現有解決方案快上一個數量級。同時,Quartz工具可與第三方IC實現流程完全相容,能夠讀取傳統物理驗證工具所用的各種檔格式。如果與捷碼科技Talus數位實現平臺相整合,Quartz DRCQuartz LVS還可去除實現系統與物理驗證工具間資料轉換的需要,節省更多的設計時間。
- ~" g4 c4 _/ D! U8 t 4 I$ X% U. ?; L2 }
最新版本的Quartz DRC/LVS產品還提供了增強的電源/地短路檢測功能,能夠準確找到短路的確切位置而不只是發生短路的路徑,同時還提供了單次檢測多處短路的能力。這就使得短路的糾正工作更為容易,加快了全晶片調試的速度。
0 X7 A( N7 d5 y. Q9 C2 X
6 S* J* q2 A' E5 _0 @$ T0 S- ?有關ZiiLABS
% b0 K$ o# g/ ZZiiLABS是富媒體應用處理器、硬體平臺和先進中間件領域的領導者,所提供產品使得OEMODM、系統整合商和開發商能夠橫跨大範圍消費電子和嵌入式市場地提供業界領先的設計和應用。該公司創建於1994年,原名為3DLABS20091月與Creative Labs個人數位娛樂集團合併後才改名為ZiiLABS。該公司在媒體處理解決方案上進行超過10億美元和10,000人年的投資,在英國、中國、美國和新加坡均設有辦事機構。該公司已設立了新的網站www.ziilabs.com
8#
發表於 2009-5-6 13:53:20 | 顯示全部樓層
捷碼科技宣佈Talus支持通用功率格式
通過提供通用功率格式支持,Talus成為唯一可同時支持兩種主流功率格式的RTL-to-GDSII流程
美國加州聖荷西  – 晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,Talus® IC實現系統已得到進一步增強,可支援通用功率格式(CPF)。通過加入CPF支持功能,Talus成為了首個可同時支持CPF和統一功率格式(UPF)的RTL-to-GDSII流程。兩種格式均允許一次性獲取規格要求並將其貫穿應用於整個流程中,可實現更好更快的低功率積體電路(IC)實現。通過提供兩種格式支持,捷碼科技使得設計師能夠靈活地選擇最適合其設計的低功率格式,同時還提供了各種先進的低功率設計功能,可將功耗降至最低、最大程度提高結果品質(QoR)並減少反覆運算。
, z: K+ r0 O9 n
( J" {- b3 `5 N6 \捷碼科技的開放式架構和統一資料模型簡化了在Talus RTL-to-GDSII環境中CPF的應用。由於實現和分析引擎使用單個統一的資料結構,加上對CPF的支持,Talus使得設計師能夠在整個流程中使用低功率設計技術。/ M" k6 G, E' L0 I
  I" x& w* Y+ Q$ U8 o, l
“不僅是無線和可擕式消費設備,事實上所有應用都在不斷推動著對更低功率、更低成本IC的要求,”捷碼科技設計實施業務部總經理Premal Buch表示。“為了幫助我們的客戶滿足這些要求,Talus提供了一個具有自動化多電壓設計、超低功率時鐘樹合成和物理實現等先進低功率設計技術的流程,可在縮短設計週期的同時降低動態功率和漏電功率需求。通過增加CPF支持功能,我們能更積極回應客戶要求,使得他們能夠充分利用其所選擇的低功率格式而獲得額外的時間節省。”
* y, k5 \  n* k& u- N% F : Y: b$ C0 u( T1 r1 k1 k0 ]% h* e- M
通用功率格式(CPF):捕捉設計師的功率管理意圖2 Z+ [2 b5 X- U! t' Z
通用功率格式(Common Power Format,CPF)是一種設計規格語言,通過捕捉設計師的功率管理意圖以實現先進的降低功率設計技術的自動化,從而打破傳統設計自動化工具流程的局限性。CPF使得所有設計、驗證、實現和技術相關功率目標均可在單一檔中描述,允許以自上而下、自下而上或起自中間的方法橫跨整個設計流程地被應用,提供了一致的設計開發和生產的參考點。
, M) r5 b) X' d8 c8 x$ f7 a" ?
+ f8 L% f, s; S# E1 eTalus:先進的低功率設計流程/ ?1 P  O7 O: Z& G3 w. R
Talus實現系統為高性能、高複雜度、低功率奈米設計提供了一個完全整合的RTL-to-GDSII流程,主要元件包括Talus Design、Hydra™ 和Talus Vortex。Talus Design是一款全晶片合成環境,可實現RTL快速開發和貫穿整個設計工藝的晶片級約束、自動化資料路徑合成並平面佈局原型的生成;Hydra是一款先進的平面佈局合成和層次化設計規劃產品,具備物理優化功能,可提供卓越的可預測性;Talus Vortex是一款物理設計環境,提供了較常規單點工具流程更為卓越的時序和信號完整性、更小的面積、更低的功率、更好的可製造性、更快的週期時間和更高的容量。9 ?/ ^  D; X: l% Y0 _3 Y$ s/ q
- B/ v/ ?4 s) \  p" i. I
Talus Power Pro通過與Talus Design、Hydra和Talus Vortex結合使用,可貫穿整個流程地實現最佳功率管理。它提供了有功率感知的合成、物理優化、時鐘樹合成和佈線等功能,使得設計師能夠最大程度降低功率,確保一致的功率分佈。
1 C) I2 g1 m. B- @( E0 x
, e3 v" k+ P% y1 B( L& }* G7 STalus Power Pro可在RTL-to-GDSII流程初期從CPF檔中讀入功率約束。時鐘門控、保持觸發器合成(retention-flop synthesis)和多電壓域(multi-Vdd)定義等功率約束可被界定以實現動態功率降低;位准偏移器、隔離單元等特殊單元在合成階段可以為支援多電壓域流程推斷生成;對於電源關閉的區域,電源開關在RTL階段可以被推斷生成,便於模拟;狀態表可用以界定已建立的不同電源域間的關係。此外,Talus Power Pro還可在設計流程的任何時候寫出CPF檔,實現與第三方工具的簡單互操作性。
9#
發表於 2009-5-13 15:54:34 | 顯示全部樓層
捷碼科技發佈Quartz DRCQuartz LVS “Liberate Me”計畫  為客戶提供下一代物理驗證
Liberate Me”試用計畫使得設計師能夠在生產率和設計週期已改善的現有設計上試用先進的物理驗證軟體
美國加州聖荷西  g5 m/ b; Y4 c1 @7 [/ _+ n" ]/ c
晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,Quartz™ DRCQuartz LVS 2009.05版物理驗證工具正式面市。通過專門針對標準多核多CPU電腦進行了優化,新版產品不僅顯著改善設計師生產率,而且功能在45/4032/28奈米等先進制程節點上得到很大改進。為了讓客戶使用起來更加輕鬆,新版產品還提供了與第三方傳統物理驗證工具的更好相容性。與此同時,捷碼科技還宣佈了“Liberate Me”計畫,為工程師提供了一個Quartz DRCQuartz LVS 60天免費試用機會。

" O  g& B8 _+ T' d' I - o. D6 F6 x- z/ y5 s, S
當與捷碼科技的Talus®數位實現平臺相整合時,Quartz DRCQuartz LVS通過去除物理設計與物理驗證間所有反覆運算,可將試產週期縮短1-2周時間。由於現場金屬填充(in-situ metal fill)、熱點修復和其他晶片完工修整操作全都是在Talus內執行,因此完全斷絕了時序和可製造性(DFM)設計意外情況的發生。
/ ]# R' q4 L, a  p/ M; u0 I  i
( P. K6 B. K5 A+ b/ v- m% p8 V- Y“設計複雜性隨著每個制程節點的發展以超過2倍的速度增加。如果不大力增強工具功能,設計師生產率的直線下滑可能在所難免,”捷碼科技定制設計業務部總經理Anirudh Devgan表示。“最新版Quartz物理驗證解決方案在性能上的明顯改善進一步提升了捷碼科技的技術領導者地位,同時也使得設計師能夠大大縮短項目週期,特別是與第三方傳統工具相比較時效果更為明顯。”
$ k% n# n4 Y4 x* s' y. v" D/ @7 e & I% Y. K' G' w% \1 A" r9 \
Liberate Me”免費試用計畫
, o6 j5 W9 ?! {5 B& R# Y捷碼科技日前發佈了“Liberate Me”計畫,旨在幫助設計師從傳統工具運行時間瓶頸中解脫出來,讓他們能夠更輕鬆地利用Quartz工具的先進技術來加速大型積體電路(IC)的物理驗證。這項計畫提供了最新版Quartz DRCQuartz LVS 60天免費試用,有意向的工程師可登錄www.magma-da.com/liberate-me 進行申請。
10#
發表於 2009-7-20 18:05:02 | 顯示全部樓層
捷碼科技與Camtek通力合作5 R1 e# h! c2 B5 O
增強先進半導體的良率
Camtek採用其Falcon自動晶圓檢測度量系統線來封裝捷碼科技的YieldManager
美國加州聖荷西  – 晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,Camtek公司(納斯達克代碼:CAMT)在其旗艦自動晶圓檢測與度量系統線Falcon中整合進了捷碼科技的YieldManager®軟體,將其作為一個選項進行銷售。Camtek自動檢測平臺與捷碼科技YieldManager的結合使用使得晶圓廠工程師能更有效地分析線上缺陷資料和良率資料,加速良率問題的根本原因識別,確保更高的良率和更低的製造成本。8 p* r2 Q7 n0 v2 S/ j& x0 ?
% e2 ^! {% @+ J  w+ s, [- B- M$ F
Camtek系統在一款通用靈活的平臺中提供了出色的2D3D功能,可滿足不斷演變的設計平臺要求;它不僅具有Cleanroom相容性,同時也完全符合工廠自動化標準,這些都使得Camtek系統可無縫地整合進最先進的生產環境中。YieldManager是一款可定制的良率管理軟體系統,使得工程師能夠採集、關連、分析並共用關鍵資料;它結合高級別的不同來源資料的關連與快速鑽取資料範圍,可加速良率限制問題的根本原因識別、節省工程時間並集中資源。這款Magma-Camtek聯合解決方案讓半導體晶圓廠和實驗室分析團隊能夠更快更輕鬆地定位潛在缺陷並更為快捷地加以糾正。
8 e( ?& r3 @1 E" V% V/ D1 a 4 ]7 d5 f$ u0 z7 m  ~+ x
“確保可靠性的同時最大程度降低製造成本是半導體成功的關鍵因素,”Camtek公司首席執行官Rafi Amit表示。“通過實現更快的良率問題識別,捷碼科技和Camtek可幫助半導體客戶達成其成本、性能和上市時間目標。”
& G; f# k( l  p" r) S6 U; X- ]+ Z
; T$ Y, Q3 t- k" `" X" h, [0 x) V2 e
“先進的晶圓廠往往有一系列的精密設備,它們為工程師提供了空前的IC相關信息量,但同時也帶來了耗時的資料分析工作,”捷碼科技Fab分析業務部副總裁Ankush Oberai表示。“採用YieldManager軟體和Falcon系統,設計師能夠更快速有效地利用自動晶圓檢測系統所提供的資訊來對IC製造流程作出改動,增強良率。”
11#
發表於 2009-7-24 17:41:42 | 顯示全部樓層
XMOS採用捷碼科技Talus 1.1提高新一代XS1-L1事件驅動處理器的性能
最新版的Talus經證明速度更快也更易於使用
美國加州聖荷西  2009723晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,事件驅動處理器(event-driven processors™)領域領導者XMOS公司採用Talus 1.1 IC實現系統完成了其近期發佈的XS1-L1 XCore™的試產。XMOS很早就對Talus 1.1進行了測試,在測試結果顯示它帶來了XCore處理器設計收斂方面有效改善後才升級使用這款最新版捷碼科技軟體。# V  t: U7 |2 C4 s& r7 H" Z
+ ~5 u; z; f- u8 V1 h( i% ]8 m  Z
“我們在XS1開發專案過程中曾對早期版本的Talus 1.1進行了測試,”XMOS公司工程部副總裁Mark Lippett表示。“其佈線演算法方面的提高讓我們決定採用Talus 1.1 版本進行生產試產。”5 k* s9 W' r: J- x6 w6 _5 t$ N

( K5 O8 A2 u8 w9 b9 f% a! NXS1-L產品系列為嵌入式軟體發展商提供了一款高效能、可擴展的多核解決方案,實現了可將介面、DSP和控制功能完全整合在軟體中的完整系統。每款XS1-L XCore都包括有一個32位處理器,並且運行速率最高可達400 MIPSXCore在休眠模式下功耗低於500µW,待機模式下功耗低於20mW,處於工作狀態時功率增幅低於450µW/MHz。事件驅動架構結合XMOS編程工具使XCore能夠在待機與工作模式間自動切換,在低占空比應用中可節省最高達90%的功耗。XS1-L1是基於65奈米制程而創建。樣品現已面市www.xmos.com7 V1 u9 V. F  F% O0 l+ m0 W

; w8 n4 \4 |) @7 ^“如同XMOS公司一樣,我們的許多其他客戶一直在設計著極為複雜晶片,需要功能強大、速度快捷、品質高且易於使用的晶片設計系統。”捷碼科技設計實施業務部總經理Premal Buch表示。“Talus 1.1不僅具有命令更少的簡化流程,並且還提供了更高的性能、時序收斂和功率優化。XMOS採用Talus 1.1快速實現其設計需求的能力就是捷碼科技COre技術優勢的有效證明。”: I3 q1 e; r- B7 O5 U7 y1 m* }3 Q
12#
發表於 2009-8-28 16:16:54 | 顯示全部樓層
捷碼科技客戶採用Talus已完成超過50次的45/40奈米試產
4 P0 M( @+ [4 @
次數遠超任何其他EDA平臺
Talus 1.1被公認為先進晶片領先的實現解決方案,
3 t% A% _) B4 R' h# s
美國加州聖荷西  2009年8月28日– 晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,捷碼科技客戶們通過採用捷碼科技的Talus® netlist-to-GDSII設計實現系統,已完成超過50次的45奈米及45奈米以下制程節點的晶片試產,次數遠超過任何其他EDA供應商的實現系統。作為捷碼科技專為45/40奈米及更小制程節點晶片而設計的下一代實現平臺,Talus現已在廣大捷碼科技客戶中得到廣泛使用,其最新版本Talus 1.1對於45/40奈米制程節點設計更是展現出特殊的優勢。
. U8 |8 p$ v1 U3 T7 c" r3 \0 t
; r1 V- L$ z+ q* p9 o+ n- p就應用類型而言,現已完成的45/40奈米試產中有超過55%的是網路和移動通信應用,其他利用45/40奈米技術的應用包括有多媒體和圖形晶片;就地理分佈而言,迄今為止完成的45/40奈米試產中有70%是由總部位於北美的公司所完成,有25%是由總部位於日本或亞太地區的公司所完成。
7 f4 O  K8 j" e4 H5 [, I
* D5 Q% J: A8 X0 V7 A$ m  ~“如您預期的那樣,網路和移動通信應用代表了45及40奈米晶片中的絕大部分,”捷碼科技設計實施業務部總經理Premal Buch表示。“迄今為止以這些尺寸制程完成的設計均十分複雜,其中有些甚至是接近1億閘的設計。具有COre™(並行優化佈線引擎)技術和高容量的Talus 1.1正是這些應用領域晶片的最理想實現解決方案,並推動這些晶片挑戰性能和高閘數的極限。”
4 r9 m% k1 i6 V$ b
1 O3 {" p: u7 X& XTalus 1.145/40奈米晶片的晶片最佳捷徑2 F0 `3 F0 j. c& P
Talus系統的創建旨在提前估計先進制程節點下的晶片設計的獨特需求,而Talus 1.1通過利用其功能則在此基礎上更進一步。自從Talus 1.1在2009年5月宣佈面市以來,捷碼科技客戶已發現該產品在運行時間和時序收斂方面提供了顯著改善;同時,它還實現了無設計規則檢查(DRC)違規的時序收斂並顯著縮小了晶片總面積。此外,與競爭對手的系統相比,Talus還提供了顯著的容量優勢,使得設計團隊在設計流程期間可致力於更大得多的功能模組。
  S- G! S8 A1 u6 a/ O" ~& t  U
; s2 L3 ~4 o& }* D; G  o“捷碼科技最初的根本宗旨即是為設計師提供最佳的先進晶片技術,”Buch先生接著補充道。“這也是不論半導體社區過渡到新的制程尺寸時需進行多少的晶片試產,我們仍可應付自如、不落其後的原因所在。到45/40奈米節點的過渡再次為捷碼科技創造了一個擴大市場份額的良好機會。當然,我們不會就此停滯不前,我們已為下一個32/28奈米制程節點的支持奠定了良好
9 Y% k$ W0 m" u0 c$ s$ {1 X基礎。事實上,我們的客戶中有些正致力於28奈米設計。”
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-30 09:34 AM , Processed in 0.163521 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表