Chip123 科技應用創新平台
標題:
請問倒數00就停怎寫?
[打印本頁]
作者:
hope1014
時間:
2008-11-26 06:56 PM
標題:
請問倒數00就停怎寫?
LIBRARY ieee;
, Z/ @" e+ ?/ T7 X
USE ieee.std_logic_1164.all;
+ ~: Z% a, H }! u( T# f4 U
USE ieee.std_logic_unsigned.all;
8 e& \ m# e! T0 t9 |) t
ENTITY counter_backwards60_seg2 IS
! X6 w0 T7 B* A/ H
PORT(Clrn,Load,Ena,Clk : IN STD_LOGIC;
; ]7 Z* P* R+ \8 z
Ring : OUT STD_LOGIC;
' q& u" q. M8 s( i. v1 l4 O
Q0,Q1 : OUT STD_LOGIC_VECTOR(3 downto 0)) ;
- L) U5 c( h: T- m8 I. {. Q
END counter_backwards60_seg2;
2 G) m: z' n: c5 t$ o' b+ j' C
ARCHITECTURE arc OF counter_backwards60_seg2 IS
* ~8 I. U0 C8 B
BEGIN
: {( x4 O/ J5 w' C
PROCESS (Clk)
. K; B% F& J4 [) u" r8 |8 }' M2 x
VARIABLE imper0 :STD_LOGIC_VECTOR(3 downto 0);
! k9 D$ \0 f! K5 w
VARIABLE imper1 :STD_LOGIC_VECTOR(3 downto 0);
( N$ u" k+ x* x, C& `$ P6 L4 M
BEGIN
2 ] F. m0 e7 e+ w; K* h
IF Clrn='0' THEN imper1 := "0110"; imper0 := "0000";
8 P; K/ N# z' q- e" H1 H* L
ELSE IF (Clk'event AND Clk='1') THEN
* V v" l7 z: Y+ r% \
IF Load='0' THEN imper1:="0110"; imper0:="0000";
$ t C' }$ a& W* W; H3 ^. |% n
ELSIF Ena='1' THEN
6 Q- t# E o% ?% D+ X+ y2 V9 k9 T
IF (imper0="1001" AND imper1="0101")THEN
9 F" L+ I/ c! k. U' h. G
imper0 :="1000";
" ]& u* j+ H8 I" m4 ^( i, }# t+ V
ELSIF imper0 >"0000" THEN imper0 := imper0-1;
7 O, Z( `% Q+ c- b7 j
ELSE imper0:="1001";
# R( M: ^/ U7 {7 ?
IF imper1 >"0000" THEN imper1 := imper1-1;
# @9 a2 v I( N' F4 \% R0 _2 F
ELSE imper1:="0101";
: m$ { u* f2 P8 k2 F
END IF;
+ }3 C8 X" D* s: m
END IF;
6 `" A) ?. A$ W3 r
END IF;
! s) W, x: t2 l- _6 K' M
END IF;
9 Q& w# W! c2 P% a( d
END IF;
& ^% J6 W3 ^8 y- A8 t' f
Q0 <= imper0; Q1 <= imper1;
1 E4 E7 F4 R$ q# C" e9 g) z1 \
Ring <= not(imper0(0) or imper0(1) or imper0(2) or imper0(3) or imper1(0) or imper1(1) or imper1(2) or imper1(3));
0 w1 M# L9 @( _
END PROCESS ;
/ E2 Y1 m% D+ c/ \. G6 F& j
END arc;
A6 C& H8 k8 z1 T- v1 q9 R6 L: p
' Y* p1 _$ Y$ T5 T$ H: P% Q
上面是我的倒數60秒程式
: V$ {$ F$ S: c$ @' ^6 u5 ?
小弟不才
- e# E5 c2 t7 |$ o8 J. H' R
不知道怎寫停止
" _7 Z2 Z( ~( M4 {9 [; ? ^
只要數1次60就好
作者:
spring69393
時間:
2008-11-27 09:37 PM
如果單純計數的話,宣告成整數型式比較好。 signal timer : integer range ;
& c8 q* }0 c' Z- T8 k
要六十秒數一次的話,簡單點就 if timer = 0 then timer <= 0; 就好了,
8 C7 n1 `6 _; G) ?
除非你有什用途 ,不然就這樣就好了。
作者:
hope1014
時間:
2008-11-28 05:05 PM
我是使用QuartusII
. C0 E- ?) d! W& p1 s! c! n
跑一次的宣告是要放哪一行...?
; B6 |1 {. w$ I) i9 i. H
還有一個問題想請教
9 t# h9 Y' L( a, g( N* w$ }
就是counter_backwards60_seg2是我的分鐘
/ O5 U: `1 z8 Q9 R
counter_backwards60_seg是我的秒
7 ~. n& N' P% ?& R
現在就是秒那邊 10→9秒的時候Co也會進位
$ }% i: ~0 l) t
秒的個位數有延遲 所以導致10→9秒的Co會進位
. o- Z2 [! Y2 a' T* j
程式分跟秒是依樣的 (Ring就是Co)
3 e; m/ h8 z; f: y7 s* t8 H
另外 分鐘怎從60開始
' _" B' \' \2 j' ~, V$ K6 [
因為現在我是00:00開始倒數
3 [+ ^: U0 ]* P: i# R3 @
我需要在counter_backwards60_seg2改哪邊?
5 k x5 e% y0 t7 I
! m1 K0 H0 J& z2 N, c% r5 \% ]3 [
" ~( }2 `- z. k1 D3 O Q% U2 m9 h
我奇摩即時通:xt_9000
3 G# ?3 @, j! p3 q
希望有好心人幫幫忙
8 B- t( k# z. a; u
懵懂無知的大學生
作者:
spring69393
時間:
2008-11-30 01:13 AM
剛學程式時寫的計數器,不過是上數的,基本上跟你要的下數差不多,只是反過來而已,
1 p+ }& Z: y* b- c" p- e) X
看有沒有用可以參考一下。
作者:
hope1014
時間:
2008-12-5 05:10 PM
感謝大大的回答
% |' l8 g0 c4 v5 t [3 X
我想問說
& p. B+ ]% O/ F0 l
怎從"60"開始倒數?
4 P, j5 t1 ~$ O; r( A& N2 a
60→59→58→57....→00
) @1 J5 b @& m4 [- X
因為我弄出來都是
' P. c( A' {$ H- r( A* ?/ F
00→59→58→57....→00
+ B2 P8 N0 x+ G* C5 b/ p
7 O1 t1 q0 g; o* q
, ~) r+ B# }- o+ F
希望有高手解一下疑惑
作者:
spring69393
時間:
2008-12-6 03:06 AM
初始值要給六十呀,也就是rst 的地方不要給他00 ,給他60。
9 d) q3 g' L; s. Y
/ U: Y0 j: \6 T- o1 R2 m
不過時鐘的話應該是給59才對,沒有從60在數回來的。
作者:
hope1014
時間:
2008-12-6 08:22 PM
沒有啦
% |6 m4 u9 ^! X2 I
因為我要做60分鐘倒數
3 l1 x1 Q- m2 \! c
所以要60:00 →59:59→59:58
# T1 I, G4 E2 ^! ~% s6 E, n
我現在是00:00→59:59 @@"
作者:
spring69393
時間:
2008-12-7 03:58 PM
if reset<='0' then
7 w0 F9 ?0 q! p
timer<=(others=>'0'); <<< 改這 60 00
作者:
hope1014
時間:
2008-12-7 07:00 PM
我沒有設定res啊@@"
) O1 A+ C5 r6 a) Y
我是用Quartus II 電路圖接法
$ ~" K+ ?1 w- ?0 b1 t( c" T
60:00(現在是00:00) →59:59→59:58
: w: g4 h; N( N5 f) l
) D [2 H6 |1 a; B9 J$ p1 t' s
IF Clrn='0' 或者 IF Load='0' 就停止動作了啊@@
9 K! f9 k- N6 B- n0 f: ], F$ Y
小弟比較笨拙~"~
作者:
hope1014
時間:
2008-12-8 01:00 AM
LIBRARY ieee;
% N4 C6 Y$ W. s
USE ieee.std_logic_1164.all;
* z* }* I' x* n3 s% E! `
USE ieee.std_logic_unsigned.all;
1 ?7 F' L& x/ I
ENTITY counter_backwards60_seg2 IS
' \$ X o+ W+ b% S' R2 F* A t' y# y
PORT(Clk : IN STD_LOGIC;
% p: d) B6 k! \* z
Q0,Q1 : OUT STD_LOGIC_VECTOR(3 downto 0)) ;
/ W! G# b/ e M, g# Q
END counter_backwards60_seg2;
7 Q+ y. d$ m3 g! U
ARCHITECTURE arc OF counter_backwards60_seg2 IS
8 S" P; k0 ?9 @. f W2 S
BEGIN
" p( O$ g0 ~/ b% y2 F
PROCESS (Clk)
% {* z' r: e* f, k+ Q& s
VARIABLE imper0 :STD_LOGIC_VECTOR(3 downto 0);
% N# n+ P# U1 k* y, W
VARIABLE imper1 :STD_LOGIC_VECTOR(3 downto 0);
1 ~% ?8 j, n$ U- i# d
BEGIN
+ c2 T6 k7 U. J# V" ?
5 }, q8 {2 ~3 r: _
IF (Clk'event AND Clk='1') THEN
6 f- b4 T# `9 y& H$ \
" }1 X1 k- l8 f( }! @( O
IF imper0 >"0000" THEN imper0 := imper0-1;
1 @3 Q5 i. M) V5 O% p# u5 N
ELSE imper0:="1001";
( W1 Y0 j5 J! m
IF imper1 >"0000" THEN imper1 := imper1-1;
% D7 i, `" ]: f
ELSE imper1:="0101";
/ G9 R/ x- h7 o2 N; c: N
END IF;
5 | \, P4 a: ]3 z1 K7 i: U
END IF;
9 _5 k/ M& j" d8 d
END IF;
' v, W K3 x9 k" s5 |+ I
Q0 <= imper0; Q1 <= imper1;
& K. z, r/ ^; |- |: U( h
END PROCESS ;
/ I) E" Y2 H. a: k' Q9 E9 _
END arc;
) y# G1 U; I& ~3 I$ A+ R+ U
以上我精簡了一些 也能跑模擬圖
0 J2 o9 L+ ^5 X+ [8 G+ v) o
但現在重點是我該怎寫60→59→58...
: ~' M4 ~" f d
初始值60要怎寫...
9 E/ L4 W4 X. V% Q4 U
是要多2個input 然後給他一開始的值?
( j2 O; A x; H7 ]. V+ M
但要怎寫啊@@...
' A, J5 e# o1 K
作者:
hope1014
時間:
2008-12-8 09:32 PM
以上問題已經解決@@"
" `0 x) Z2 A, p' Q
但請問 我需要一個另外程式
$ l! _# Z' X1 A! e: v% o0 {/ H
去控制暫停開始和暫停
|* C5 K) ?+ z* i3 k7 F3 U7 Q$ h
LIBRARY ieee;
# \0 A0 o- a$ c$ E
USE ieee.std_logic_1164.all;
* M9 p2 }7 I+ k4 j/ R9 O
USE ieee.std_logic_unsigned.all;
' q7 h1 n, X* g( L
ENTITY debounce_v IS
m6 ~/ m, ]/ X
PORT(CLK,PB : IN STD_LOGIC;
5 J+ y" j) n5 o; @
PULSE : OUT STD_LOGIC
+ Y) R4 U# G* ]. K1 W4 S' ]3 }
);
D" G+ w3 Y2 T0 K3 p) z- v
END debounce_v;
" m% ?9 r. ?. n/ x# Z' a
ARCHITECTURE arc OF debounce_v IS
/ F( N/ ~/ i% K3 J
SIGNAL imper : STD_LOGIC;
. t6 O* H' P; A
BEGIN
$ |' u e' i8 `8 k
PROCESS (CLK)
8 [" A7 k3 d" H( c; E8 { e& ~
VARIABLE counter : integer range 0 to 49;
$ u7 Y* F. ]2 ], i8 D0 h
BEGIN
9 V- a) u/ L. E3 ~+ I7 ~* X& j
IF (CLK'event AND CLK='1') THEN
) O% M7 {( `2 O i& V6 e. H" D/ b
IF counter = "1" and (PB = '1') THEN imper <= '1';
% O- M3 o0 Y. Y
ELSE counter := counter-1; imper <= '0';
4 |9 l* _1 f& \4 n, I
END IF;
2 _: Q; Z0 d7 |6 B: |6 o T D$ q
END IF;
" F+ T4 W8 V, w, Z
PULSE <= imper;
: g5 F @" H! f
END PROCESS ;
1 @' R* E' A+ e- E# t
END arc;
- ^% @" T! G8 D9 ^2 ?0 u4 X
5 ]" d. t5 }7 i3 k: g
這是按一次減一次
( A* m# Q# E. P) s7 a
想要按一下開始 按一下暫停
# w8 d; K5 a. G
% Q0 m0 ?# E- A, N/ h
ps.轉自全華圖書
作者:
spring69393
時間:
2008-12-9 09:39 AM
Quartus II 電路圖接法 我不會也,程式寫法就懂。
" |3 O1 C- C: v( _. Z
@4 l$ Y5 A3 z# z" x4 U8 x
你就建一個按鍵 跟上面的一樣,只是還要做一個暫存器,=0 就跑,= 1就停。
作者:
hope1014
時間:
2008-12-11 08:38 PM
@@"
5 }0 y4 J" g; [7 f$ c$ X+ d
有需要暫存器??
3 X9 Z9 V) A- C! Y
那是震盪器→先接到開關→接到暫存器→計數器
' {/ [$ g, u4 D7 I% y0 h
這是樣嗎?
作者:
hope1014
時間:
2008-12-12 10:23 PM
PROCESS (CLK)
+ u3 K# c" ?# p7 ^% m
VARIABLE counter : integer range 0 to 49;
; w A2 U% ?9 B. O Q) _& G1 k
BEGIN
$ d$ V( ?! G& Y/ \
IF (CLK'event AND CLK='1') THEN
3 ^0 x+ t$ m2 e; i) e$ F
IF counter = "1" and (PB = '1') THEN imper <= '1';
6 ~' Z- c4 S" [" i: ]
ELSE counter := counter-1; imper <= '0';
4 b& I4 F* m- q2 O1 C; t
END IF;
. m7 p# ]: ]! _' ~
能幫我解釋一下這幾行是什麼意思嗎?
作者:
spring69393
時間:
2008-12-14 09:56 PM
PROCESS (CLK) -- 有些書翻成敏感列,如果clk 的狀態有改變的話就重做以下動作
3 B7 W! P0 f$ Y6 d L, s5 X$ |' w/ V6 ~
VARIABLE counter : integer range 0 to 49; -- 把counter設成 0 到49 的整數
9 P0 V8 Y+ u! s3 |2 ~
BEGIN
9 B7 O; `4 `/ |! A' N; z: m
IF (CLK'event AND CLK='1') THEN -- 如果clk 正緣觸發
7 Y1 x ]# g' W2 w; G2 m
IF counter = "1" and (PB = '1') THEN imper <= '1';
7 F7 R9 h( D; R# g6 }
-- 如果counter = "1" 和 (PB = '1')就把 imper設為1
8 P9 R0 U% m+ d; U6 O3 W( l/ F" P- C
. Z- W0 g* x' K {
ELSE counter := counter-1; imper <= '0'; --不然的話counter := counter-1; imper 為0
) m( `/ D' x' _/ r
END IF;
作者:
hope1014
時間:
2008-12-18 07:44 PM
spring69393 大大感謝您
3 A0 K. g# Z" m% M! [1 U
4 z/ j, |3 j. y# H1 s; A# k* B
按鍵開關 第一次 on時,開始計時。
4 N" z6 b* O# i* E- W0 K
第二次 on時,停止計時。
7 d7 c6 ~' z6 _2 a% \( G
第三次 on時,開始計時。
8 U- E% h9 p4 P8 A
# R8 V5 R6 p( _& \# b
這有哪位大大能幫忙@@
作者:
spring69393
時間:
2008-12-21 12:53 PM
你就判斷暫存器是 高電位還低電位不就好了,一個計數一個停止呀,不是很簡單嗎@"@
作者:
hope1014
時間:
2008-12-22 09:21 PM
是移位暫存器嗎?
8 Z2 v# ]2 J. w* V8 s9 G/ ?
平行輸入 / 平行輸出
5 C0 g7 x0 Y9 H1 I+ v# J- n0 w
平行輸入 / 串列輸出
8 K8 [- B6 t: Q4 G
串列輸入 / 平行輸出
% J# K" R: w' |+ b: n+ B1 R
串列輸入 / 串列輸出
2 a% N# ^& L$ G
哪一個啊@@...
) m0 z, e) o$ Z4 h3 `! D2 n
我都是看書自己學
4 N# V0 P( x' N% X& o: \% b
所以都不會咩
( `5 |( N8 E6 v
拜託 幫幫忙
作者:
hope1014
時間:
2008-12-24 08:18 PM
真的有要用到暫存器?!
: y0 i0 B9 q3 g1 s5 ] ]
今天問老師
! @; ^* n# b. L9 g! m2 z# h
可是老師沒說到暫存器的東西
6 s+ L' S- \$ g) } _4 J3 p4 r
反而是說
6 q% o$ M! u% q' Q, R& o0 C
IF CLK'event AND CLK='1
. {/ W/ ]- s. S2 @$ r9 C7 l
counter := counter + 1 ;
4 p* E/ d3 Q& x- @& b& ]2 ?7 G# B4 _
! X' `# E" { ?' _* ~
抓counter (0) ----第一個腳位?!
# J/ Z$ f' S# G7 e0 N2 {$ @, b
給counter位元多一點之類的
) U7 N+ r/ e- x1 t' ~% l
0 m0 t3 W2 G V1 ?4 h s% P
00 01 10 11
! ~; j0 Q# B" `1 V0 ~3 c4 q; T# V
最後腳位為0 ----Run
/ P: p0 o' U% I
最後腳位為1 ----Stop
* z1 D- P5 _# z1 D( Z# @ M
4 G3 d' u- }' @) a/ {: C
可是要怎弄勒@@
作者:
spring69393
時間:
2008-12-25 08:12 PM
你說的是自動變速,跟你的要求的開關功能根本不一樣。
; [9 X# B. o$ G" ~! C+ C& r
至於怎麼做我也不知怎樣跟你說,給你程式你又看不懂,
" R' l' Z6 a! Z! U+ ^
跟你說原理你又不去想,要用你懂的畫圖的我又不會,
! F* x6 r1 D& ~+ J1 b# A2 ?
難解了!
作者:
ssejack1
時間:
2008-12-26 09:37 AM
Key function
( Y, f: ` A; k4 P0 p$ r! W
按鍵開關 第一次 on時,開始計時。
& y8 j8 y; z, A# {- j5 r
第二次 on時,停止計時。
6 ]1 h8 i+ B+ ~) F$ }" l
第三次 on時,開始計時。
, t. _# D9 Q- o* r8 ]% S
未 synthesis,請自行 debug........
( [! t3 ~9 L! b2 f# }
% b4 G: w' O7 ^( D- T( N3 |8 C7 U
LIBRARY ieee;
8 q( S7 w% ?5 ?, H+ i8 \% a) ^
USE ieee.std_logic_1164.all;
& h, _' ~7 k9 H; ]! ~
USE ieee.std_logic_unsigned.all;
; [( v$ z! Z6 E; q+ N* A3 s% ]. ?
ENTITY KeyFunction IS
6 E6 L3 X; h6 v
PORT(CLK,
, E" F+ P1 T5 O
PB,
& M- v1 T2 B; V/ D$ j
RSTn : IN STD_LOGIC;
! t- ^( ~& e" Y/ [
START_COUNT,
$ J; r8 A7 ^" M. g) P7 u+ h
PAUSE
- N, E! L# @; |, b2 T2 J
: OUT STD_LOGIC
6 g8 N2 x, O8 X
);
. @6 @8 A" G( k" e
END KeyFunction;
' }: {" w8 W# q8 l8 C) D& [
ARCHITECTURE arc OF debounce_v IS
& t/ |: x6 y" c% W$ g
SIGNAL currently_state : STD_LOGIC_VECTOR(2 downto 0);
- B' E/ C5 w' T" T' u
signal pb_reg,debounce_counting,debounce_end : std_logic;
' O, C e& _8 z, A7 k
signal debounce_counter : STD_LOGIC_VECTOR(15 downto 0);
$ D! t/ B; t1 B; H2 e: ?+ Z( X$ j
5 g8 u& P5 S+ z/ V7 H- g5 b
constant debounce_time : STD_LOGIC_VECTOR(15 downto 0):= "0000000000000000";
/ W( A8 o0 d$ E, O
BEGIN
5 K' W" a: S( |! e
# ?* @, r# R; |6 @% ]* }& x! Y
--============================================================
6 d7 s2 y: O5 `6 o: w/ M! [+ w3 X2 t
-- get key push state. ( active high)
" z! O1 t1 b V7 f
--============================================================
: `3 m. j3 C( G& D- H
PROCESS (CLK,RSTn,PB,pb_reg,debounce_counting,debounce_end)
) }0 [0 \; x. ~. B" a
BEGIN
- P5 Y1 e* L V
if( RSTn = '0') then
- I8 K& W3 ~/ V! C7 ^
pb_reg <= 1;
& u B {: Z t" N! Z6 E
elsif( CLK 'event and CLK ='1')then
1 l# p! E" R8 U1 v* U" \3 o( _1 [- Y) s
if( PB='1' and pb_reg ='0')then
. j9 `' }; l( g. v* u
debounce_counting <= '1';
; n. A) i0 O# I1 ]; ?
elsif( debounce_end = '1')then
. h$ A" K @# n
debounce_counting <= '0';
6 n7 _5 i4 Z- u+ d3 w
else
8 x9 X$ p' m! z, V
debounce_counting <= debounce_counting;
% o. [+ U8 A3 l" u# q" m
end if;
6 K6 g+ W4 u7 |) b
pb_reg <= PB;
/ z# m% z3 B. o6 o/ ?: V( o
end if;
作者:
ssejack1
時間:
2008-12-26 09:38 AM
--============================================================
^+ f' V3 q- V: P8 n8 a
-- key debounce timer
7 B* Q v: w! I0 m8 Z+ q
--============================================================
( ]( R2 j4 P$ i1 _
PROCESS (CLK,RSTn,PB,pb_reg,debounce_counting,debounce_end)
i% I( P: e5 _: Z( k
BEGIN
Z9 m2 A% A) v. h' a
if( RSTn = '0') then
4 Q9 s/ _/ l$ w* t
debounce_end <= '0';
$ I0 G6 R" N( |. E, F* \$ A
debounce_counter <= debounce_time;
( u7 Q2 ]* f( y5 O" \
elsif( CLK 'event and CLK ='1')then
6 @# f* T- o6 S9 G8 d" S
if( debounce_counting ='1')then
4 x j/ ?0 j3 B; k* D( e" C# _# `
if( debounce_count = "1111111111111111")then
& @0 t# W. n; P/ E" S8 J
debounce_end <= '1';
1 _& C, h h8 c
debounce_counter <= debounce_time;
3 [: r( K% f0 B
else
6 ]5 q; w9 G0 {' G2 S
debounce_end <= '0';
1 e: l( U k% t1 S* ?. s
debounce_count = debounce_count+1 ;
, q0 C7 h/ _" N% V& t7 T
end if;
, m* T6 j% S; s0 S# t* s+ G6 d; Q, p2 ]3 D
end if;
! L( M7 }# v( ?* e7 t$ B5 _
end if;
4 C- O6 t: |& Q/ \8 A
$ f6 V$ r6 {" i9 d1 \- i
--============================================================
0 Q! F* G0 M! T/ p% E
-- key function control
( U; q8 R- ]9 Y" W+ E% n8 C: D
-- PAUSE -(key push)-> START_COUNT -(key push)-> PAUSE -(key push)-> START_COUNT
* P' Q0 W( c! Y9 D8 j6 s3 t
--============================================================
. S+ N L( R% l+ I$ I1 ~
PROCESS (CLK,RSTn,pb_reg,debounce_end)
U p% s& W' w
BEGIN
1 [. A3 `8 ?( M" Q$ {, L
if( RSTn = '0') then
" b( }2 ~3 q# V- I
currently_state <= "10"; -- pause
; N# u; }; \6 z7 M
elsif( CLK 'event and CLK ='1')then
! K( K, E p: n' y
if( debounce_end ='1' and pb_reg ='1')then
. B3 `( |9 d7 D I
currently_state <= currently_state(0)& currently_state(1);
2 P; x/ p8 E: q" h- t& |! J! {, X* Z5 Y
end if;
& j! X/ p$ a5 o. B* O6 h
end if;
: ~* t; _: \. I1 Y- D- `8 l
START_COUNT <= currently_state(0);
! R: f0 d+ y' R1 t. Y( `
PAUSE <= currently_state(1);
+ Y$ b! ?& c# A5 M8 m
/ ~1 Z& B/ B! I" z# O
END PROCESS ;
4 R) b+ p! B) \* U1 m
END arc;
歡迎光臨 Chip123 科技應用創新平台 (http://chip123.com/)
Powered by Discuz! X3.2